58
25 45 인쇄발행┃ 2007년 4월 25일 발행처┃ 정보통신연구진흥원 (IITA) www.iita.re.kr 대전광역시 유성구 화암동 58-4번지 Tel 042-710-1114 발행인┃ 이성옥 기획편집┃ 이성옥∙황기수∙오수영∙이윤종 ITSoC 매거진 격월간 발행 magazine 무료 구독 신청 문의 IT-SoC협회 R&D지원팀 이지연 (02-407-9045, [email protected]) 온라인 구독신청 www.itsoc.or.kr 일러스트 박용인 만드는 사람들 장선호 (IITA) : [email protected] / 042 710 1181 임문혁 (IITA) : [email protected] / 042 710 1184 임인영 (IT-SoC협회) : [email protected] / 02 407 9041 이현노 (IT-SoC협회) : [email protected] / 02 407 9043 이지연 (IT-SoC협회) : [email protected] / 02 407 9045 이진호 (ETRI) : [email protected] / 042 860 5665 김태수 (ETRI SoC산업진흥센터) : [email protected] / 02 3433 6069 우병태 (KETI) : [email protected] / 031 789 7150 Contents 2007 +05 제작대행┃ 디자인회사피디 02-3473-7997 IT SoC magazine에 실린 기사의 내용은 필자 개인의 의견으로 필자의 소속기관이나 본지의 공식견해를 대변하는 것은 아닙니다. IT SoC magazine은 한국간행물윤리위원회의 도서잡지 윤리강령 및 잡지윤리실천요강을 준수합니다. 04 IT SoC Network IITA, IT-SoC협회, ETRI SoC산업진흥센터 IT SoC 업계 News 10 Special Report 통방/멀티미디어 컨버전스 부품 기술로드맵 ② 25 Hot Isssue IIC China 2007을 가다 Focus on _Navigation 32 Design Methodology 내비게이션 기술소개 36 Industry Trend 내비게이션 시장 및 제품동향 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션 시스템 구성요소 및 기능 내비게이션 관련 용어 쉽게 이해하기 52 Announcement 교육정보, SoC산업진흥센터 지원사업 안내 Events / Call For Paper Ⅰ,Ⅱ IT 관련 국내외 행사 안내 카툰과 퍼즐 31

Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

25 45

인쇄발행┃2007년 4월 25일

발행처┃정보통신연구진흥원(IITA) www.iita.re.kr

전광역시유성구화암동58-4번지 Tel 042-710-1114

발행인┃이성옥

기획∙편집┃이성옥∙황기수∙오수 ∙이윤종

I T S o C 매 거 진 격 월 간 발 행

magazine

무료 구독 신청 문의

IT-SoC협회 R&D지원팀 이지연

(02-407-9045, [email protected])

온라인 구독신청

www.itsoc.or.kr

일러스트박용인

만드는 사람들

장선호 (IITA) : [email protected] / 042 710 1181

임문혁 (IITA) : [email protected] / 042 710 1184

임인 (IT-SoC협회) : [email protected] / 02 407 9041

이현노 (IT-SoC협회) : [email protected] / 02 407 9043

이지연 (IT-SoC협회) : [email protected] / 02 407 9045

이진호 (ETRI) : [email protected] / 042 860 5665

김태수 (ETRI SoC산업진흥센터) : [email protected] / 02 3433 6069

우병태 (KETI) : [email protected] / 031 789 7150

Contents 2007 +05

제작 행┃디자인회사피디 02-3473-7997

● IT SoC magazine에 실린 기사의 내용은 필자 개인의

의견으로 필자의 소속기관이나 본지의 공식견해를 변하는

것은 아닙니다.

● IT SoC magazine은 한국간행물윤리위원회의 도서잡지

윤리강령 및 잡지윤리실천요강을 준수합니다.

04 IT SoC NetworkIITA, IT-SoC협회, ETRI SoC산업진흥센터

IT SoC 업계 News

10 Special Report통방/멀티미디어컨버전스부품기술로드맵 ②

25 Hot IsssueIIC China 2007을가다

Focus on_Navigation32 Design Methodology

내비게이션 기술소개

36 Industry Trend내비게이션 시장 및 제품동향

45 기업소개팅크웨어, 시터스, 매직아이

48 Guide to Navigation내비게이션 시스템 구성요소 및 기능

내비게이션 관련 용어 쉽게 이해하기

52 Announcement교육정보, SoC산업진흥센터 지원사업 안내

Events/Call For PaperⅠ,Ⅱ

IT 관련 국내외 행사 안내

카툰과 퍼즐

31

Page 2: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

4 IT SoC Magazine

www.iita.re.kr

▶ FORWARD IITA를 위한 한마음행사 가져

IITA는 지난 3월 1일, 2일

경북 포항 해병 식 극기

훈련장에서 보직자 60여

명이 참석한 가운데 유격

훈련 및 해상훈련 등을 실

시하는‘FORWARD IITA

를 위한 한마음 극기행사’

를 실시했다. 이번 행사는,

지난달 초‘전략기획’과

‘성과관리’중심의 규모 조직개편을 단행한 이래 비전2010의 성공적 달

성을 위해 전직원이 새롭게 각오를 다지는 장을 만들기 위해서 기획된 것

이다. 행사에 앞서 이성옥 원장은 성과 고객 인재중심의 경 이념과

‘FORWARD IITA’라는 슬로건 제시를 통해 기관장의 포부를 밝혔고, 한마

음경 선언을 통해 IITA가 지향해 나가야 할 조직문화를 제시하 다. 또

부서장들은‘FORWARD IITA 실천결의문’선서를 통해 의지를 다졌다. 이

번 행사는 원장을 비롯한 60여명의 보직자들이 참석하 고, 일반직원들은

7일~8일, 15일~16일로 나눠 실시되었다. 이성옥 원장은, “한마음 행사를

통해 직원 모두가 늘 변화의 리더로서 인식하고 어떠한 어려움도 헤쳐 나

갈 수 있는 강한 정신력을 함양하여, 조직공동체 발전에 기여하는 Forward

로 성장해 나갈 것”이라고 밝혔다.

▶ IITA, IT중소기업에 2천360억원 기술개발지원 확정

IITA는 2007년도 정보통신분야의 IT연구개발 투자촉진과 경쟁력 향상을 위

한 IT중소기업의 출연∙융자기술개발사업에 2,360억원을 지원할 예정이다.

이번에 지원되는 사업은 출연사업(IT산업경쟁력강화사업 140억원, IT우수

기술지원사업 105억원), 융자사업(응용기술개발지원사업 1천620억원, IT설

비투자확 지원사업 495억원) 등이다. IT산업경쟁력강화사업은 IT839의

지정분야에 한 과제당 최 10억원까지 지원하며, 창업 초기기업에게 지

원하는 우수기술지원사업은 3년 이내 IT중소벤처기업에 과제당 최 1억5

천만원을 지원한다. 응용기술개발지원사업은 연구개발과 사업화에 필요한

자금을 업체당 20억원까지 지원하며 기술력이 있는 중소기업에 기술담보

출을 통해 확 한다. IT설비투자확 지원사업은 IT설비투자에 지원되는

자금을 장기 저리를 지원하는 사업으로 업체당 30억원을 지원한다. 특히,

응용기술개발지원사업은 전국 19개 시중은행을 창구로 전문가 상담과 융자

접수 등 수요자 중심의 서비스를 지속적으로 실시하고 있으며 자금 소진

때까지 연중 수시 접수하고 있다.

▶ IT부품/융합분야 신규과제 수행계획 협의확인 완료

2007년도 신성장동력핵심기술개발사업 부품/융합분야 신규과제 5개 과제

(부품3, 융합2)에 해 수행계획 협의확인을 완료하 다. 각 상과제의 협

약을 위해 IT부품/융합전문위원실과 과제책임자가 함께 마일스톤 및 수행

계획서를 협의 확인하 다. 부품분야 3개 과제는“MPCore 플랫폼 기반 다

중포맷 멀티미디어 SoC(ETRI, '07~'10, 07년 연구비: 54억(정부40,민간

14))”, “모바일와이맥스-차세 와이파이 듀얼모드 모뎀((주)카이로넷,

'07~'08, 07년 연구비: 20억(정부15,민간5))”, “4G 기지국용 GaN 전력증

폭기(KETI, '07~'10, 07년 연구비: 17억(정부12,민간5))”이며, 융합 2개 과제

는“실감 휴 단말용 프로젝션 입출력 광플랫폼”(광주과학기술원, ’07~’10,

07년 연구비: 39억(정부29,민간10)), “휴 단말용 잔류농약 검출용 일회용

생화학센서”(KAIST, ’07~’10, 07년 연구비: 16억(정부12,민간4))이다.

■문의처: 장선호 기술역(042-710-1181)

▶IITA, 새 CI 선포

- IT기술혁신을 선도하는 세계적인 연구진흥기관으로 도약 의지 담겨

정보통신연구진흥원(IITA)은“IT 기술혁신을 선도하는 세계적인 연구진

흥기관”으로 도약하기 위한 비전을 담아 C.I.(기업이미지통합)를 새롭게

바꾸고, 3월 20일전직원이참여한가운데 IITA 강당에서새로운C.I.

선포식을개최했다. IITA는지난 1998년CI를도입한이후기능및인력

의 확 , 법정법인으로의 위상변화, 기관의 비전 재정립 등 다양한 변화

를거쳐왔다. 금번C.I. 이미지는새롭게비상을꿈꾸는IITA의모습을형

상화한 역동적이고 혁신적인 것으로서 IITA의 비전과 같이 로벌 시

의 경쟁력을 갖춘 기술혁신 선도기관으로 거듭나려는 의지를 담았다.

IITA는 금번 CI 개편과 함께 문명칭을 IIT-Assessment(평가)에서

IIT-Advancement(진흥)로 공식적으로 변경하여, 앞으로 IITA가 방송

통신융합시 에정보

통신분야의선도적역

할을담당하고자하는

의지를 밝혔다. 한편

IITA는 CI 개편을 계

기로앞장서서개척하

고선도한다는의미로

FORWARD IITA라는

새로운 슬로건을 만들

어, 고객을먼저생각하는기관으로, 우수한성과를창출하는기관으로, 직

원모두가전문가인최고의기관이되기를다짐했다.

IT SoC network

IT 기술혁신을 선도하는 세계적인 연구진흥기관

정보통신연구진흥원

Page 3: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

IT SoC network 5

www.itsoc.or.kr

▶ 2007년 제2회 IT-SoC 조찬세미나 개최

2007년 제2회 IT-

SoC조찬세미나가 회

원사 CEO 45명이

참석한 가운데 지난

4월 4일 삼성동 그랜

드인터컨티넨탈호텔

에서 개최되었다. 이

날 세미나에는 휴맥

스 변 규 표이사

가 초청인사로 참여

하여 <셋탑박스 업계에서 바라본 SoC업계의 발전방향>을 주제로 강연하

다. 변 규 표는 시스템반도체업체들은 세트업체, 나아가 방송이나 통신

등 캐리어들의 속사정을 파악하고 있어야 하며 적기에 제품과 서비스를 제

공할 수 있어야 성공할 수 있다고 강조하 다. 또한 시스템반도체에서 소프

트웨어의 중요성이 갈수록 높아지고 있는 상황이므로 국내 시스템반도체업

체들도 우수한 소프트웨어 개발인력을 지속적으로 양성해야 한다고 지적하

다.

▶ 2007년도 해외전시회 지원사업

- IIC China 2007전시회 참가

IT-SoC협회는 회원사 14개 업체와 함께 지난 3월 5일부터 6일까지 양일간

중국 선전에서 열린 IIC China 2007에 참가했다. IT-SoC협회 주관으로 한

국관을 구성하여 참가한 이번 IIC China 2007은 세계 50개국 200여 업체

가 참가하여 역 최 규모의 전시회로 치러졌다. 올해로 12회째를 맞은

IIC China 2007은 인텔, 삼성전자, 텍사스인스트루먼츠, ST마이크로일렉트

로닉스, 르네사스테크놀로지 등 세계 유수의 반도체기업들이 모두 참여하는

세계 최 반도체 전문 전시회로 매년 3월 중국 베이징, 상하이, 선전에서

개최된다. IT-SoC협회의 주관으로 구성된 한국관에는 14개 국내 SoC기업

들이 참여하여 휴 폰용 멀티미디어 SoC, DMB SoC, 무선통신 SoC 등을

전시했다. 중국 세트업체 관계자들은 한국의 최신 SoC 제품들에 깊은 관심

을 표시했고, 한국관은 전시회 기간 내내 문정성시를 이루었다. 이번 전시회

에서 14개 참가업체들은 1,340건 상담으로 수출상담액 3,750만 달러성과를

올렸으며, 네오와인, 넥실리온, 셀로코, 쓰리에이로직스, 애트랩, 엠씨에스로직

등은 285만 달러 규모의 계약을 체결하는 성과를 올렸다. 협회의 지원으로

이번 전시회에 참가한 회원사 14개 업체는 부스임차비 50%와 장차비 100%

등금전적인지원과사전홍보활동등행정적인지원을받을수있었다.

▶ IT-SoC협회, 중국 심천‘심천 IC설계산업센터’방문

IT-SoC협회, ETRI SoC산업진흥센터는 지난 3월 6일 중국 심천의‘심천

IC설계산업센터’와‘TCL’을 방문했다. 이번 방문은 중국 IT부품 관련 기

관과 기업 방문을 통해 중국 진출 협력네트워크를 구축하고, 중국 IC산업

현황을 파악하고자 하는 의도에서 기획되었다. IT-SoC협회, ETRI SoC

산업진흥센터는‘심천 IC설계산업센터’를 방문하여 양국의 IC산업 진흥정

책, 중소기업진흥정책, IC산업 활성화 추진정책에 관한 의견을 교환하 으

며, 중국 표 IT기업인‘TCL’을 찾아 중국 IT기업 현황과 협력방안을 모

색하는 시간을 가졌다.

▶IT-SoC협회 2007년 정기총회 개최

IT-SoC협회는 지난 2월 27일 서울 삼성동 그랜드인터콘티넨탈 호텔에서

2007년 정기 총회를 개최하 다. 황기수 협회장(코아로직 표이사)를 비롯한

주요 회원사 표들이 모인 이번 정기 총회에서는 2006년 사업결과 보고,

2006년 회계연도 감사보고 및 결산 승인, 2007년 사업계획 및 예산안 승인

등의 안건이 다루어졌다.

IT-SoC협회 황종범 사무총장의 2006년도 사업결과와 2007년도 사업계획

발표가 있었으며 양 안건 모두 회원사의 별다른 이견 없이 승인 되었다. 식후

행사로는 PKL 김창윤 과장이“Strategic business partnership of fabless

business for photomask"란 주제로 포토마스크업계와 팹리스업계 간의 협

력방안에 하여 발표하 다.

IT SoC network

IT SoC 산업활성화를 위한 코디네이터

IT-SoC협회

Page 4: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

6 IT SoC Magazine

www.asic.net

IT SoC 산업육성의 견인차

한국전자통신연구원 SoC산업진흥센터

▶ IT-SoC전공인증과정 ’07년 춘계 설계특론 개설

SoC산업진흥센터에서는 2007년 5월 춘계 설계특론을 개설한다. SoC전공인증

과정의 일환으로 2004년부터 시행되어온 설계특론은 SoC 분야를 전공하는

석∙박사과정 학생들의 실습교육 강화를 위하여 동하계 방학기간에 개설되는 설

계실습 심화교육 프로그램이다. 매학기 방학기간에 시행되어 매년 700여명의

SoC전공인증과정 참여 학생들이 교육을 이수하 다.

2007년부터는 SoC전공인증서 수여식 일정이 12월로 앞당겨짐에 따라 인증사

정조건의 하나인 설계특론 이수과목이 하계 설계특론 기간에 수료한 과목까지만

인정받을 수 있게 되었다. 동계 설계특론은 지원사업 전체일정의 변경으로 차년

도 1~2월에 축소 운 될 예정이다. 따라서 현실적으로 설계특론을 이수할 수

있는 기회가 줄어든 것을 보완하기 위하여 올해부터 춘추계(학기중) 설계특론을

개설한다. 부분의 운 절차는 기존의 동하계 설계특론과 동일하게 진행되지

만, 학기 중임을 고려하여 매주 월요일, 금요일에 강의를 수강할 수 있도록 준비

하 다. 2007년 5월에는 하기 2강좌를 시범적으로 운 하며, 2학기(추계)에는

온라인 수강을 포함하여 확 실시할 예정이다. 기존에 실시하던 하계 설계특론

은 6월 중순부터 8월 말까지 시행할 예정이다.

<춘계 설계특론 개설과목>

■문의처 : SoC아키텍트양성팀최 수 ([email protected], 02-3433-6064)

▶ 2007년 IT-SoC아카데미 지역캠퍼스 운 -7개 과제 선정

SoC산업진흥센터는 지난 3월, IT SoC 핵심설계인력양성 사업의 일환으로 수

행하고 있는 7개 지역별‘IT-SoC아카데미 지역캠퍼스 운 ’과제 선정을 완료

하고 계약을 체결하 다. ‘IT-SoC아카데미 지역캠퍼스’는 국내 60여개 참여

학의 지역별 클러스터링을 통한 IT-SoC전공인증과정의 저변확 를 위하여

2005년부터 운 하고 있는 수행과제이다. 2007년에는 사업기간이 변경됨에

따라, 각 지역캠퍼스에서도 하계 방학기간을 이용하여 설계특론 2과목, 전공교

과목 2과목 이상을 개설하여 인증과정 참여 학생들이 인증교과목을 이수할 수

있도록 할 예정이다. 또한 IT-SoC전공인증과정의 효율적인 운 을 위하여 IT-

SoC전공인증과정 참여 학에 배포할 설계툴 수요조사, 졸업자 및 인증서 수여

예정자 조사, 졸업논문계획서 접수 등을 각 지역캠퍼스에서 추진할 예정이다.

■문의처 : SoC아키텍트양성팀조 민 ([email protected], 02-3433-6037)

�과제계약현황

�계약과제참여인력현황

2007년 SoC개발실습프로젝트는 약 42억의 예산으로 81개 과제를 계약 체

결하 고 38개 학과 4개 SoC관련 기업체에서 112명의 교수님과 721명의

석박사과정 인력이 참여하여 과제를 수행하게 된다. SoC개발실습프로젝트

관련 향후 일정은 5월경 MPW관련 Front-end 및 Back-end 교육을 실시

하고 2007년도 SoC개발실습프로젝트의 중간실적평가와 최종 결과평가는

각각 7월말과 12월초에 시행할 예정이다.

2007년은 프로젝트 수행기간이 총 9개월(2007.03.01~2007.11.30)로 단

축됨에 따라 전년도 비 과제별 편성예산이 축소되었음에도 불구하고 SoC

산업진흥센터는 2006년도와 동일한 석박사 전공인증과정 인증인력 배출목

표를 달성하기 위해 체계적인 과제 관리시스템 구축에 노력을 기울이고

있다.

■문의처 : SoC아키텍트양성팀김창선 ([email protected], 02-3433-6033)

IT SoC network

▶2007년 SoC개발실습프로젝트 선정확정 및 계약체결

SoC산업지원센터는 지난해 12월말부터 진행한 07년 SoC개발실습프로젝트 (전공실습/설계실습/산학협력) 선정평가를 완료하고 4월 중순에 선정과제에 한

계약을체결하 다.

구분 신청과제 계약과제 비고

전공실습 98개 58개 13.8억원

설계실습 21개 20개 22.5억원

산학협력 5개 3개 5.8억원

합계 124개 81개 42.1억원

구분 학 교수 비고

전공실습 28개 58명 84명 246명 기업 1개사(3명)설계실습 25개 63명 86명 293명

산학협력 3개 3명 5명 7명 기업 3개사(28명)

합계 38개 112명 기업4개사(31명)

학생박사 석사

175명 546명721명

지역 기관명 참여 학 연락처

서울 광운 학교[email protected]

02-940-5447

경기 한양 학교(안산)[email protected]

031-400-4079

충청 한국정보 [email protected]

통신 학교 042-866-6298

경북 경북 학교[email protected]

053-950-6857

경남 부산 학교[email protected]

051-510-2828

전북 전북 학교[email protected]

063-270-4269

전남 전남 학교[email protected]

062-530-0797

건국 , 고려 , 광운 , 국민 , 단국 , 동국 ,

서강 , 서경 , 서울 , 서울시립 , 숭실 , 연세 ,

이화여 , 중앙 , 한양

경원 , 경희 , 성균관 , 명지 , 아주 , 인천 ,

인하 , 한국외 , 한국항공 , 한양 (안산)

전 , 상명 , 순천향 , 우송 , 청주 , 충남 ,

충북 , 한국과학기술원, 한국기술교육 ,

한국정보통신 , 한밭 , 호서

경북 , 계명 , 금오공 , 남 , 포항공 , 한동

동아 , 동의 , 부경 , 부산 , 울산 , 창원 ,

한국해양

건양 , 군산 , 원광 , 전북

광주과학기술원, 광주 , 동신 , 목포 , 순천 ,

전남 , 조선 , 호남

교육일정 교육강좌명 강사 비고

107.05.07, 14, 21, 28

고성능데이터변환기윤광섭(인하 ), 30시간이수인정

(10:00~18:00) 송민규(동국 )

207.05.04, 11, 18, 25

고성능코덱설계 노정진(한양 ) 30시간이수인정(10:00~18:00)

Page 5: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

▶2007년 IT-SoC 인력양성 기업후원제도-17개 후원기업 약정체결

SoC산업진흥센터는 지난달 4월까지 삼성전기(주), (주)하이닉스반도체, (주)코

아로직을 비롯한 17개 IT-SoC인력양성 후원기업과의 약정을 체결하 다.

2005년 8월 LG전자(주) 등 8개 후원기업과의 약정체결을 시작으로 시행하고

있는 IT-SoC인력양성 기업후원제도는 IT-SoC아카데미 양성 인력과 SoC관

련 산업체와의 네트워크를 구축함으로써 설계경험을 갖춘 우수 석박사 인력을

산업체에 공급하고, 궁극적으로 SoC설계인력 수급의 활성화를 목표로 기획된

제도이다. SoC산업진흥센터와 후원기업간의 년 단위 약정에 의하여 연회비를

납부하면 후원기업의 자격이 주어지고, 아래와 같은 혜택이 지원된다.

� 동하계 설계특론 과목 지정 후원∙홍보 및 수강생 현황 제공

� 후원기업 직원의 설계특론 또는 산업체 실무교육 우선권

� IT-SoC 전공인증과정 참여자와의 채용면담(Job fair) 주선(6월, 11월)

※ 2007. 6. 22.(금) ITRC Forum 2007 Recruiting Fair 참가

(서울 삼성동 COEX)

� 후원기업 직원(신입, 경력자)을 위한 위탁교육 기획 및 개설

� SoC산업진흥센터 홈페이지 및 발행 인쇄물을 활용한 후원기업 홍보

<IT-SoC인력양성 후원기업 현황>

■ 문의처 : SoC아키텍트양성팀 김현주 ([email protected], 02-3433-6036)

▶2007년도 1차 IT SoC 시제품 개발지원 선정 결과

한국전자통신연구원 SoC산업진흥센터에서는 차세 이동통신, DMB, DTV 등

고기능 정보통신기기 및 부품 개발에 필요한 IT SoC 개발을 지원하기 위하여,

2007년 1월 1일부터 2월 28일까지 IT SoC 시제품 개발지원 신청 접수를 받아

3월 15일 2007년 1차 IT SoC 시제품 개발지원 심의위원회를 개최하 다. 신청

접수결과 총 14개사에서 14종을 신청하 으며, 이 중 심의를 거쳐 10개사 10종

을 최종 선정하 다. 공정기술별로는 90㎚ 2종, 0.13㎛ 1종, 0.18㎛ 7종이 선정

되어 초미세공정으로 개발되는 SoC의 개발비용에 해 50%이내를 정부지원금

으로 지급할 계획이다. 그 동안 IT SoC 시제품 개발지원은 SoC 개발기업의 편

의성을 위하여 수시 신청 접수 및 분기별(3월, 6월, 9월) 심의 선정으로 운 되

었으나 2007년부터 정부지원금의 차년도 예산 이월 문제로 인해 상반기 수시

심의 선정을 통해 지원기업을 조기 선정할 계획이다.

■ 문의처 : SoC산업기술팀 장인수 ([email protected], 02-3433-6087)

▶2007년도 1차 SoC 신뢰성시험 지원 선정심의 결과

SoC산업진흥센터에서는 IT SoC산업기반조성의 일환으로 종합적이고 체계적인

시험평가 서비스 제공을 위해 SoC 시험 지원을 수행해 오고 있으며, SoC 개발

중소벤처기업의 시제품에 해 전기적 특성 및 불량 분석과 신뢰성 시험을 지원

하고 있다. SoC 신뢰성시험 지원 품목에는 수명시험, 온∙습도 환경시험, 내전

압시험, 전처리시험 등이 있으며, 일반적으로 1회 시험을 추진하는데 수천만원

의 비용이 소요되어 SoC 개발 중소벤처기업에는 큰 부담으로 작용하고 있다.

이를 개선하기위해 SoC산업진흥센터에서는 국제공인(KOLAS)시험인정기관과

협력하여 시험 지원을 수행하고 있으며 공인(KOLAS)시험성적서와 결과서를 발

행함으로써 중소벤처기업의 SoC 품질을 제고하고, 시험비용의 부담을 경감시

키기 위해 50% 범위 내에서 최고 천만원까지의 비용을 지원 하고 있다. 수시

지원 신청접수를 위해 2007년부터는 SoC산업진흥센터 홈페이지에 온라인 시

스템을 구축하고 2억원의 지원예산을 확보하여, 1/4분기(3월), 2/4분기(6월),

3/4분기(9월), 총 3차에 걸친 지원 계획을 수립하 다. 지난 3월에는 1차 SoC

신뢰성시험 지원을 위한 선정 심의위원회를 개최하여 총 15건을 지원 선정하

으며, 이후 6월과 9월에 2차와 3차 신뢰성시험 지원이 있을 예정이다. SoC 신

뢰성시험 지원 신청 및 안내에 한 자세한 사항은“SoC산업진흥센터 홈페이

지(www.asic.net) > SoC산업지원 > SoC시험지원”을 참고바람.

■ 문의처 : SoC산업기술팀 박성천 ([email protected], 02-3433-6086)

▶2007년 1분기 SoC산업진흥센터 창업보육지원실 입주기업 표회의 개최

SoC산업진흥센터는 기술력 있는 신생 SoC 개발기업을 발굴∙선정하여 지원함

으로써 SoC 개발전문기업을 육성하기위해 추진하고 있는 SoC창업보육지원의

2007년 1분기 창업보육지원실 입주기업(16개사) 표 회의를 3월 30일 개최하

다. 현재 센터에서는 국내 신생 업체들의 SoC 개발 촉진을 위해 센터 내에

SoC 개발에 필요한 각종 Utility를 구축하고, 창업보육실시기업들에 해 중앙

집중식 지원을 추진해오고 있다. SoC산업진흥센터에서는 단계별 보육지원시스

템을 구축하여 추진하고 있으며, 2007년부터는 POST TBI 진입을 위한 보육 및

성장육성지원 체계를 구축 중에 있다. 이날 표 회의를 통해 현재 입주하여

SoC 개발활동에 여념이 없는 보육 기업들이 이러한 지원 혜택을 충분히 누릴

수 있는 방안에 한 논의가 이루어졌다. 또한 2007년 창업 및 성장육성지원사

업의 일환인 계측(개발)장비지원은 IT SoC PARK 이외의 지역에 지원을 확 하

기 위하여“원격 고성능 계측장비 공동 활용 시스템”을 구축 할 것이며, 계측장

비의 이용에 어려움이 많은 SoC 개발관련 중소벤처기업을 위하여“계측 전문가

지원시스템”을Tektronix사와 Agilent Technologies사의계측전문engi-

neer들의 협조아래지속적으로진행할예정이다.

■ 문의처 : SoC산업기술팀 김용 ([email protected], 02-3433-6083)

IT SoC network 7

IT SoC network

단계적�추진방향창업�및�성장육성지원

매출�1000억원�기업30개사�목표

��������창업보육�졸업기업�정보�DB구축����입주기업�실적평가�확립개발환경�실비지원확립�(One-Stop)

POST-TBI

Infra구축

사이버Infrar구축

SoC�사업단�특화,�홍보

장기발전계획

Intergrated,Interactive,��Best�solution

Infra구축위한�분석설계

��������기술성,�사업성이�탁월한�������������SoC�선정,�개발기업�집중지원Remote�Collaborate�기반�platform구축2007년

2006년

2005년

���커뮤니티�서비스�강화통합정보관리시스템�platform구축

번호 후원기업 홈페이지 비고

1 (주)하이닉스반도체 www.hynix.co.kr 2차 년도

2 삼성전기(주) www.sem.samsung.com 2차 년도

3 LG 전자(주) www.lge.co.kr 2차 년도

4 (주)씨앤에스테크놀로지 www.cnstec.com 2차 년도

5 (주)코아로직 www.corelogic.co.kr 2차 년도

6 엠텍비젼(주) www.mtekvision.co.kr 2차 년도

7 (주)텔레칩스 www.telechips.com 2차 년도

8 다믈멀티미디어(주) www.tamulm.com 2차 년도

9 (주)티엘아이 www.tli.co.kr 2006년 신규

10 (주)넥스트칩 www.nextchip.com 2006년 신규

11 (주)펜타마이크로 www.pentamicro.com 2006년 신규

12 (주)에프씨아이 www.fci.co.kr 2006년 신규

13 (주)인티그런트테크놀로지 www.integrant.com 2006년 신규

14 (주)셀트론 www.integrant.com 2006년 신규

15 (주)코아리버 www.celltron.co.kr 2007년 신규

16 (주)다우엑실리콘 www.daouxilicon.com 2007년 신규

17 슬림텍(주) www.slimtec.co.kr 2007년 신규

Page 6: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

8 IT SoC Magazine 8 IT SoC Magazine

업계뉴스

코아로직, 모바일TV용 멀티미디어 솔루션 칩 출시

코아로직( 표 황기수 www.corelogic.co.kr)은 3일 창립 9주

년을 맞아 다양한 모바일TV 규격을 모두 지

원하는 멀티미디어 프로세서(MAP) ‘제이

드’를 출시했다. 제이드는 각종 비디

오 및 오디오 규격을 부분 지원

하고 특히 전자상거래(e커머스)

용 데이터 방송 기능도 제공하는 토

털 멀티미디어 솔루션으로 휴 폰은 물

론 내비게이션, PMP 등 각종 컨슈머 제품에

두루 쓰일 수 있다. 제이드는 차세 데이터압축 규격인 H.264 디코더를

내장, 위성 및 지상파 DMB는 물론 유럽의 DVB-H 방송을 D1급(720×

480)으로 초당 30 프레임까지 재생∙녹화할 수 있으며 500만 화소의 카

메라 기능도 제공한다. 제이드를 휴 폰에 탑재할 경우 상통화는 물론

내장된 상을 TV로도 출력할 수 있는 기능을 제공한다. 또한 이 제품은

모바일 콘텐츠저작권관리(DRM)와 USB2.0, 미디어 트랜스퍼 프로토콜

(MTP) 지원을 통해 단말기 간에 콘텐츠를 원활하게 공유할 수 있도록 했

다. 소프트웨어를 통해 블루투스 기능도 함께 지원하며, 저전력 소모 설계

로 뮤직폰 솔루션에도 적합하다. 코아로직 황기수 사장은“이번에 출시된

제이드는 코아로직이 2007년 사업 역을 휴 폰 부문에서 디지털 컨슈

머 제품으로 사업 역을 확장 시키는 데 일익을 담당할 솔루션”이라며

“2007년 제 2의 도약을 위해 다양한 멀티미디어 애플리케이션과 서비스

가 휴 폰 및 디지털 컨슈머 제품에 구현되도록 소프트웨어와 하드웨어

가 통합된 플랫폼 솔루션으로 국내 시장뿐만 아니라 중국 등 해외시장에

도 적극 나설 계획”이라고 말했다.

씨앤에스테크놀로지, 무선 디지털 전화기용 프로세서개발

씨앤에스테크놀로지( 표 서승모www.cnstech.co.kr)는 무

선디지털 전화기(DCP)에서 벨소리나 유저인터페이스(UI)와 같은 여러 기

능을 제어하는

CPU ‘레아’를

개발했다고 밝혔

다. 씨앤에스가

이번에 개발한

레아를 장착하면

기존 아날로그

무선전화기와 달리 DCP도 일반 휴 폰처럼 64화음 이상의 벨소리를 즐

길 수 있고 바탕화면도 꾸 수 있게 된다. 깨끗하고 선명한 액정화면도

볼 수 있다. 정보통신부는 이달부터 기존 가정용 아날로그 무선전화기를

디지털로 전환하도록 했으며, DCP 개발도 활발한 상황이다. 이에 따라

레아의 수요도 높아질 것으로 씨앤에스 측은 기 했다. 단말기 업체들은

레아를 무선 디지털 전화기 뿐 아니라 무선 인터넷전화에도 유용하게 사

용할 수 있으며, 특히 씨앤에스테크놀로지가 지난 해 개발한 인터넷전화

전용 칩 크로노스와 함께 토털 솔루션으로도 공급받을 수 있다. 또한

MP3플레이어, PMP 등 ARM 기반의 프로세서를 사용하는 단말기에도

모두 적용할 수 있어, 휴 용 단말기에서 UI 개선을 위한 CPU로도 활용

할 수 있다. 서승모 사장은“집 전화도 디지털전화 시 인 2.0 시 로 진

입하며 가정용 전화의 획기적인 업그레이드가 이뤄지고 있다”며“디지털

전화기∙무선 인터넷전화기 시장을 집중 공략하는 한편, 솔루션 경험이

없는 단말기 업체들에게도 응용 솔루션을 지원해 고객이 적시에 시장에

진입할 수 있도록 도울 것”이라고 말했다.

엠씨에스로직, DMB 멀티미디어 칩 개발

엠씨에스로직( 표 남상윤 www.mcslogic.co.kr)은 다양한 비

디오∙오디오 코덱을 내장한 DMB 멀티미디어 칩‘MLC5000’을 개발했

다고 밝혔다. 이 제품은 H.264 코덱을 비롯하여, WMV∙MPEG-4∙

DiVX∙XvID∙JPEG∙BMP∙GIF 등 비디오/이미지 코덱과, MP3∙

WMA∙Ogg∙AAC/AAC+∙G.723 등의 오디오 코덱, 그리고 ADPCM

과 자체 개발한 MLPCM 등의 보이스 코덱을

탑재하고 있다. MLC5000은 SD급의 화질을

보장하며 블루투스 기능도 제공한다. 이와 함

께 DMB 데이터 방송인 실시간교통방송서비

스(TPEG)∙전자편성표(EPG)∙양방향 데이터

서비스(BiFS) 등을 지원할 수 있도록 설계된

것도 특징이다. 남상윤 사장은“이 제품은 올

2분기 이내 양산할 계획”이라며“기존 DMB 전용 단말기 뿐 아니라

PMP나 네비게이션 MP3플레이어 등에서 Navigation, 고성능 MP3플레

이어 등 각종 휴 용 멀티미디어 기기에 DMB 기능이 들어갈 것으로 보

여 이 제품이 융복합 DMB 기기의 핵심 부품이 될 것으로 기 한다”고

말했다.

유니퀘스트, 드림텍 인수

유니퀘스트( 표 임창완 www.uniquest.co.kr)가 휴 폰 부품

업체인 드림텍( 표 조경자)의 지분 45%를 약 90억원에 인수했다고 밝

혔다. 자회사 퀘스트랩스를 통해 블루투스 시장에 진출한 유니퀘스트는

이번 인수를 통해 반도체부터 완제품에 이르기까지 블루투스와 관련된

모든 기술에 해 풀라인업을 갖췄다. 드림텍은 휴 폰용 키패드 인쇄회

로기판(PCB)과 블루투스 모듈을 전문으로 하는 업체다. 드림텍은 휴 폰

용 충전기와 휴 폰용 키패드 PCB 사업으로 출발해 지난해부터 블루투

스 모듈까지 사업을 확장하고 있는 휴 폰 부품 전문 업체로, 2003년 매

출 318억원에서 2006년 521억원을 기록하며 연평균 18% 성장 중인 업

체다. 유니퀘스트는 자회사 퀘스트랩스와 드림텍을 통해 블루투스 분야

사업을 집중 키울 계획이다. 임창완 유니퀘스트 사장은“퀘스트랩스를 통

해 블루투스 액세서리를 개발하며 휴 폰 관련 분야에 발을 들여 놓은 지

1년 여 만에 드림텍을 인수했다”며“휴 폰 키패드와 블루투스 응용 제품

이 속해 있는 휴 폰 부품시장의 성장 전망을 감안할 때 휴 폰 분야 사

업을 키우고 또 다양한 응용 분야로 진출하는 계기를 마련하게 될 것”이

라고 말했다.

티엘아이, 필름 없이도 터치스크린 구현이 가능한반도체 개발

티엘아이가 필름 없이도 터치스크린을 구현할 수 있는 획기적인

반도체를 개발했다. 티엘아이( 표 김달수 www.tli.co.kr)는 필름 없이도

TFT LCD 디스플레이를 터치스크린으로 만들 수 있는 리드아웃 IC

‘TL5109SR’를 국내에서 처음으로 개발했다고 밝혔다. 기존

터치스크린은 하단의 유리와 상단의 필름으로 구성돼 터치한 순간

정전용량의 변화나 압력의 변화를 측정해 좌표값을 인식하게 된다. 이 때

터치스크린의 구성품은 유리와 필름, 아날로그디지털컨 버터(ADC)와

Page 7: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

IT SoC network 9IT SoC network 9

드라이버 등이다. 티엘아이가

개발한 리드아웃 IC를 사용하면

터치한 순간에 TFT LCD에 비친

그림자나 상을 리드아웃 IC가

읽어내 이를 좌표로 반 하기

때문에 정전용량이나 압력 변화를

측정해야 하는 별도의 필름이

필요없다. 또한 리드아웃 IC

자체에서 아날로그 신호를 디지털로 바꿔주기 때문에 ADC도 사용할

필요가 없다. 이 때문에 원가절감은 물론 디스플레이의 두께도 줄일 수

있다. 이 리드아웃 IC는 내비게이션과 같은 터치스크린이 필요한 분야에

적용할 수 있으며, 최근 터치스크린 수요가 늘어나고 있어 리드아웃 IC의

시장도 확 될 것으로 회사 측은 기 했다.

엠텍비젼, 뮤직폰 전용 멀티미디어 칩 개발

엠텍비젼( 표 이성민 www.mtekvision.com)은 뮤직폰에서 필요

로 하는 모든 기능을 구현하는 뮤직폰 전용

멀티미디어 칩‘아스톤∙사진’을 개발했다고

밝혔다. 이 제품은 음악 전용 프로세스를 사

용해 기존 멀티미디어 칩에 비해 음악 처리

속도가 빠른 것은 물론 전력소모도 2배 이상

줄 다. 기존뮤직폰의음악재생시간은 15시

간 정도이지만, 엠텍비젼의 아스톤을 사용할

경우 40시간에서 최 70시간까지 음악 재

생이 가능하다는 것이 가장 큰 장점이다. 아

스톤은 뮤직폰에서 모든 음악 파일을 재생할 수 있도록 다양한 오디오 코덱

을 모두 지원하며, 뮤직폰에서 필요로 하는 기능인 디지털저작권관리(DRM)

와 USB2.0∙미디어트랜스퍼프로토콜(MTP) 등의 프로그램도 지원한다. 이

제품은 베이스밴드 칩 없이도 동작이 가능하기 때문, MP3플레이어 등 휴

폰이외의오디오전용휴 용기기에도사용할수있다. 엠텍비젼은이제품

을 2분기부터 국내외 업체에 공급할 예정이다. 본격적인 매출은 하반기부터

발생할것으로회사측은기 했다.

애트랩-레이디오펄스, 지그비 마우스 개발

애트랩( 표 이방원 www.atlab.co.kr)은 국내 지그비 칩 전문

업체인 레이디오펄스( 표 왕성호)와 협력, 지그비를 활용한 무선마우스를

개발했다. 지그비는 근거리무선통신 규격 중 하나로 홈네트워크 제어에

주로 사용하는 기술이며, 일반적으로 무선 마우스는 27MHz 역의 주파

수를 통해 신호를 주고 받아 사용하도록 했다. 애트랩과 레이디오펄스는

자사의 칩이 들어가는 제품의 시장을 만들어 가기 위해 새로운 개념의 마

우스를 개발했다. 애트랩은 광마우스 센서칩을 레이디오펄스는 지그비 무

선 통신 부분을 맡아 함께 개발했으며, 이 솔루션을 확산하기 위해 완성

품인‘지그비 마우스’까지 직접 제작해 홍보에 나섰다. 지그비 마우스의

가장 큰 장점은 마우스를 홈네트워크 제어 단말기로도 사용할 수 있다는

점과 전력소모가 적다는 점이다. 애트랩과 레이디오펄스가 첫 선을 보인

지그비 마우스는 PC와 연결해 무선 마우스의 역할을 할 수 있는 것은 물

론 전등제어 등 홈네트워크 제어 단말기로도 활용할 수 있다. 또한, 지그

비는 근거리무선통신규격 중 전력소모가 가장 적은 규격으로 배터리 사용

시간을 두 배 이상 늘릴 수 있다.

홈캐스트, 태국에 1250만달러치 IP셋톱박스 수출

홈캐스트( 표 이보선 www.homecast.net)가 태국의 신 새틀

라이트(Shin Satellite)와 고화질(HD) 및 개인 상녹화기(PVR) 기능이 내

장된 하이브리드 IP 셋톱박스를 공급하기로 전략적 제휴를 맺었다고 밝혔

다. 이에 따라 홈캐스트는 향후 2년간 최소 1250만달러 상당의 IP셋톱박

스를 태국에 수출하게 된다. 이번에 공급되는 IP셋톱박스는 국내서도 출

시된 적이 없는 하이엔드급으로 HD와 PVR 등 첨단 부가기능이 내장돼

있다. 신 새틀라이트사는 태국의 방송통신사업자로 타이콤1∙2∙3∙4∙5

의 5개 방송∙통신 위성을 보유하고 있다. 특히 지난 2005년부터는 위성

인터넷서비스인‘IP스타 서비스’를 제공하고 있다. 이보선 홈캐스트 사장

은“국내서조차 출시된 바 없는 최고 사양급 IP 셋톱박스의 수출에 성공,

기술력을 인정받게 됐다”며“이를 토 로 본격적인 해외 IP셋톱박스 시장

공략에 나서겠다”고 밝혔다.

픽스트리, HE AAC(V2) 디코더 솔루션 개발

멀티미디어 솔루션 업체 픽스트리( 표 신재섭 www.pixtree

.com)가‘HE AAC(V2)’오디오코덱 디코더 솔루션을 개발했다. HE

AAC(V2)는 압축률이 높은 오디오코덱으로 현재 IPTV, DVB-H, 원세그

등에 적용됐다. 픽스트리는“이번에 개발한 디코더 솔루션은 기존 제품보

다 효율성을 20% 이상 높 다”며“이를 적용한 단말 제조사는 저전력,

고효율의 휴 이동방송 단말기를 제작할 수 있다”고 밝혔다. 픽스트리는

디코더 솔루션을 모바일 환경 기반으로 개발했지만 시장 상황의 요구에 따

른 다양한 플랫폼에 적용할 계획이다. 신재섭 표는“이번에 개발한 솔루

션을 국내 단말 제조사에 공급, 경쟁력 있는 우수 제품을 출시할 수 있도록

적극적인 마케팅을 펼칠 것”이라고 말했다.

아진엑스텍, 4축 모션 제어 칩 국산화 성공

반도체와 디스플레이, PCB, 자동화 검사 장비에 주로 사용되는 4축

모션 제어 칩이 국내에서도 개발됐다. 모션 제어 보드 및 시스템 플랫폼

전문기업인 아진엑스텍 ( 표 김창호 www.ajinextek.com)은 최근 산자부의

부품소재 기술개발사업을 통해 고속,

고정 의4축모션제어칩을국산화하는

데 성공했다고 밝혔다. 이 제품은 고속

리니어 모터뿐만 아니라 공장 자동화

∙로봇∙CNC 등 6축 미만의 전용장비

시장에 폭넓게 활용될 수 있다. 그동안

4축 모션 제어 칩은 전량 일본에서

수입해 왔다.펄스 출력형 모션 제어

주문형반도체설계(ASIC) 기술을 통해

정 모션 제어용 반도체칩(CAMC-QI)으로 개발된 이 제품은 펄스를

입력받는 모든 종류의 스텝, 서보 드라이버와 호환도 가능하다. 최고

13.1072MHz 펄스를 출력할 수 있으며 △지정위치 구동 △조그 구동 △원점

검색 △외부펄스 입력 구동 △갠트리 모드 구동 등 다양한 종류의 모션

제어를 할 수 있다. 한 칩에 4축이 통합된 형태로 각 축의 독립적 제어가

가능하다. 축간 보간 및 동기 구동 등의 다축 제어 기능도 제공한다. 1축 및

2축 모션 제어 칩을 이미 개발, 시판 중인 이 업체는 이번 4축 모션 제어

칩이 공간적인 효율성을 높임으로써 장비업체의 다양한 수요에 응할 수

있을것으로기 하고있다.

자료제공 : 전자신문www.etnews.co.kr

Page 8: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

장선호 기술역/공학박사 [email protected]

이상호 연구원 [email protected]

정보통신연구진흥원 IT부품/융합기술 전문위원실

엄낙웅 그룹장/공학박사 [email protected],

한국전자통신연구원 IT융합부품연구소

통방/멀티미디어컨버전스부품기술로드맵

10 IT SoC Magazine

개념 및 정의

통신망의 광 역화 및 방송의 디지털화에 따라 VoIP,

WiBro, HSDPA, DMB, IPTV 등 유∙무선 통합, 음성∙데이

터 통합 및 통신∙방송 융합되는 신규 서비스를 선보이면서 서

비스, 시스템, 단말기등이융합되는컨버전스패러다임이본격

화되고있는양상이다. 컨버전스경향에의해하나의휴 용멀

티미디어 기기는 고성능 2D/3D, 동 상 재생, 오디오 재생, 디

지털 방송수신, 무선전화, 휴 인터넷 등 무수히 많은 기능을

지원하는 추세로서 멀티포맷의 그래픽/ 상/음성 등으로 구성

되는 리치미디어(rich-media)가 요구되고 있다. 인터넷과 TV

가 만나는 IPTV는 통신과 방송이라는 이종산업 간의 융합서비

스로서 VoIP, 초고속인터넷, TV 서비스를 동시에 제공하려는

통신사업자들의 TPS(Triple Play Service) 사업전략의 일환으

로 현재 전 세계 280여개 이상의 사업자에 의해 추진되고 있

다. IPTV를 통해 향후 맞춤형 방송 서비스, T-Commerce, 홈

네트워크서비스등을가정내의다양한기기를통해제공할전

I

Special Report

Series II

Ⅰ. 개념 및 정의

Ⅱ. 시장 동향

III. 기술 및 정책동향

IV. 국내역량 분석 및 육성전략

V. 분야별 기술로드맵

Page 9: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

망이다. 자신이 원하는 미디어를 언제든지 서비스를 받을 수

있는 U-Home 서비스가 보편화될 것으로 전망되는데 맞춤형

방송 서비스, T-Commerce, 양방향 방송 등 다양한 서비스를

가정내의모든기기를통해이용가능하게될것이다.

통방/멀티미디어 컨버전스 부품은 유무선 및 통신∙방송

융합에 따른 다양한 멀티미디어 서비스에 필요한 부품으로서

“모바일 멀티미디어 부품”및“홈미디어 서비스 부품”으로 구

분할 수 있다. “모바일 멀티미디어 부품”은 휴 폰, PDA,

PMP 등의 모바일 단말에 탑재되어 멀티미디어 서비스를 제공

하는 SoC를, 홈미디어 서비스용 부품은 광 역융합 서비스 및

U-Home 서비스 제공에 필요한 SoC를 말한다. 이에 한 개

념을 개략적으로 도식화 하면 <그림 1>과 같다. 그 외 방송컨텐

츠의 홈네트워크 상에서의 보안을 위한 방통 융합 Security

SoC 및 홈미디어 서버 저장 기반 방송 컨텐츠, 개인 저작 컨텐

츠(디카, 캠코더 등), 디지털저장매체 컨텐츠(MP3, DVD 등)의

홈 네트워크에서의 이종 미디어 기기 간의 직접 접속을 위한

Networked 홈미디어SoC 등이있다.

시장 동향

모바일 및 홈미디어 관련 시장전망치는 <표 1, 2>와 같고,

본 고에서 다루는 통방/멀티미디어 컨버전스 부품의 상시장

및응용분야는<표3>과같다.

II

Special Report 11

Special Report

본 코너에서는‘07년 1월호 핫이슈에서 다룬‘IT부품 2007-2012 기술로드맵’을 토 로 홀수 월에 각 분야별로

시장/기술 동향, 표준화/정책 동향 및 기술로드맵을 상세히 다루고 있습니다. 독자 여러분께서도 본 내용과

관련하여 중장기적으로 반드시 포함해야 할 중요한 연구개발 테마가 있으면 IITA의‘IT부품/융합기술

전문위원실’에 알려주시기 바랍니다. 준비한 기술로드맵을 참고하여 차기 기술개발 테마를 발굴해 나갈 예정입니다.

이번호에서는‘통방/멀티미디어 컨버전스 부품 기술로드맵에 해서 다룹니다.

<그림 1> 통방/멀티미디어 컨버전스 부품-시스템-서비스망

<표 1> 모바일 단말 및 멀티미디어 부품 시장전망

모바일 시장(M$) 185,104 190,532 196,600 199,574

모바일 멀티미디어27,766 28,580 29,490 29,936

부품 시장(M$)

자료 : iSupply 2006. 5. (모바일 시장의 15%로 멀티미디어 부품 시장을 추정)

<표 2> 홈미디어 서버 및 부품 시장전망

구분 2009 2010 2011

홈미디어 서버 수량(M) 67백만 75백만 85백만

홈미디어 부품 시장(M$) 1,340 1,500 1,700

자료 : IDC 2004. 5. (홈서버 시장의 20%로 멀티미디어 부품 시장을 추정)

<표 3> 상시장과 응용분야

구분 상 시장 응용 분야

통∙방/멀티미디어컨버전스 부품

�휴 단말기(PDA, PMP 등)

�멀티미디어핸드폰(스마트폰, 3D게임폰)

�광 역융합단말기 (DMB/통화/Wibro)

�U-Home

�휴 용게임기, 휴 폰, 스마트

폰, PDA, PMP, 네비게이션단말

�홈미디어 서버, 디지털TV,

디지털STB, 게임 콘솔

구분 2007 2008 2009 2010

Page 10: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

1. 제품 및 서비스 현황

12 IT SoC Magazine

제품/서비스현황

�포터블 미디어기기 Security서비스를 위해 애플 i-POD

기반 i-TUNE 서비스에서 DRM 활용

� 삼성, LG 등은 국내에서의 OpenCable 서비스의 성

공적인 런칭으로, OpenCable 서비스를 준비 중인 거

미국 시장 진출 기회가 열림

� DMB 기능을 갖춘 PMP는 디지털큐브의 V43이 60%

이상 시장을 점유하고 있고, 나머지를 다른 국내 제품

이 점유하고 있음

� DMB폰의 경우 삼성전자가 주도하고 있고, LG전자도

점유율을 확 해 가고 있음.

� 방송용 Security(CAS)는 미국 및 유럽의 거 미디어

기업의 Security 솔루션이 독점하고 있음

� 위성 DMB CAS의 상용화를 토 로 국내가 상 적으

로 강점인 다양한 신규미디어 플랫폼으로의 CAS 비

즈니스를 확 중임

� 방송서비스 Security관련해서, 위성방송(Skylife)에서

는 CAS H/E, Client 등 전량 외산 사용(NDS)하고 있

고, 디지털케이블방송과 관련해서는 Cable Card의

초기시장은 SCM 선정, 매커스 Cable Card를 시범

적용하고 있음

� 인터넷기반 미디어 서비스 Security에서는 MS-DRM

표준을 기반으로한 국내개발 솔루션을 채택하고 있고,

휴 폰 미디어 서비스 Security에서는 이동통신사 별

로 SKT(멜론), KTF(도시락), LG(뮤직온)는 국산 DRM

솔루션을 사용함

※ 세계시장에서 국내외 기업의 시장점유율

� W-CDMA 단말기 시장은 2005년 5,104만 에서

2009년 4억 1,995만 로 증가할 전망임

� 3G 단말기(W-CDMA+CDMA2000 1x 이상)중 W-

CDMA 단말기의 비중은 2005년 23.8%에서 2009년

63.2%로 증가할 것으로 보임

� 이동통신 단말기 시장은 노키아, 모토로라, 삼성전자,

LG전자, 소니에릭슨 순으로 시장을 점유하고 있음

※ 주요국의 시장전개구조 관점에서 시장 진입 전략 및

특성 등 기타 고려해야 할 상황

� 세계 단말기 시장이 고성장에서 저성장으로 진입함에

따라 향후 단말기 제조업체들의 경쟁은 더욱더 심화

될 것으로 보임

� 단말기가 High-End화 되면서 단말기 선택에 있어 디

자인이 중요한 요인으로 자리잡고 있음

� 신흥 시장의 성장에 따른 저가단말기 시장과 기존 선

진 시장의 High-End 단말기 시장으로 양분된 성장이

형성될 것으로 보임

� 3G 단말기의 판매 증가와 본격적인 투자로 인해 단말

기의 멀티미디어 기능은 더욱 강화될 예정

� 단말기 관련 첨단 기술개발의 상용화가 필요하고, 통

방 융합과 같은 컨버전스화에 응한 핵심기술 개발

이 요구됨

�지상파DMB 시청이 가능한 삼성전자 애니콜(SPH-

B3100)은 MP3, 외장 메모리, 이동디스크, TV-out 등

의 기능을 갖춤

�디지털큐브의 V43과 코원의 COWON A2는 PMP로

4인치 이상의 와이드 화면에 지상파 DMB와 내비게이

션, 게임을 지원하고 있음

�화상통화, 멀티미디어서비스를 가능하게 하는 W-

CDMA 서비스는 외국에서만 제공되고 있고, 국내에서

실시되고 있지 않지만 삼성, LG 등의 국내 단말기 회

사가 수출하고 있음

�위성방송인 Skylife에서 NDS CAS(Embedded CAS)

를 채택하여 사용하고 있고, 디지털케이블방송과 관련

해서 OpenCable 규격의 Cable Card 도입으로, 초기

는 SCM 독주 체제 으나, 매커스의 Cable Card 모

듈 상용화로 경쟁 체제로 돌입하고 있음

�IPTV와 관련해서는 Embedded CAS 채택위한 기술

개발을 진행 중이고, 위성 DMB(Tu-Media)에서는 해

외의 Iredeto E-CAS와 국산 개발된 Mobile-CAS가

복수로 사용되고 있음

�인터넷에서의 지상파 방송컨텐츠 소비를 위한 미디어

DRM으로 i-MBC(디지캡 W-MRM), SBS-i(디지캡

W-MRM)를 각각 사용함

※ 표적인 제품/서비스 기능, 수준, 특성 등

�캐나다 RIM사의 블랙베리 스마트폰은 복합기능 무선

단말로 OS를 탑재하고, 멀티미디어 메시지 서비스

(MMS)를 비롯한 인터넷 기능 지원

�Nokia사의 N92는 3G GSM 과 WCDMA 서비스가 가

능하고 유럽의 모바일 TV 기술인 DVB-H 수신이 가능

하며 Wi-Fi, Bluetooth, 그리고 디지털 등의 기능을

갖춤.

�W-CDMA 서비스는 2005년 8월 기준, 37개국에서

82개의 W-CDMA 네트워크를 통해 상용 서비스가 제

공되고 있으며 가입자 수는 3,079만 명에 이르고 있음

�3G 서비스의 Killer Application으로 화상통화, VoD,

MMS, 3D게임등멀티미디어서비스등이제공되고있음

�2005년 2분기동안 세계에서 총 900만 의 W-CDMA

단말기들이 판매되었으며, 세계에서 180개 이상의 다양

한 W-CDMA 단말기 모델이 시장에 출시되어 있음

�위성방송 서비스 Security와 관련해서 유럽에서는

NDS, Nagra, Irdeto CAS(Embedded CAS)를 채택하

고 있고, 미국에서는 Motorola, SA CAS 를 채택하고

있음

�디지털케이블방송 Security와 관련해서 유럽에서는

NDS, Nagra, Irdeto CAS(Embedded CAS)를 채택

하고 있고, 미국에서는 Motorola, SA CAS를 채택하

여 서비스하고 있음

�인터넷에서의 지상파 방송컨텐츠 소비를 위한 미디어

DRM과 휴 폰에서의 오디오 컨텐츠 소비를 위한

DRM 채택

구분 주요동향 구분 주요동향

국내기업

국외기업

국외기업제품/서비스현황

내수시장

세계시장

시장점유현황

기타

Page 11: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

2. 시장규모 전망 및 예측

기술 및 정책동향

1. 기술개발 동향

2. 표준화 동향

III

Special Report 13

Special Report

<표 4> 통방/멀티미디어 컨버전스부품 시장규모 (단위:M$)

구분 2007 2008 2009 2010 2011 2012

세계 2,481 2,691 2,808 3,186 3,300 3,500

국내 75 80 85 96 100 110

자료 : IDC 2005

<표 5> 품목별 통방/멀티미디어 컨버젼스 부품 시장규모 (단위:M$)

통∙방

/멀티미디어

컨버젼스 부품

품목 2005 2006 2007 2008 2010 2011

PMP 212 361 380 317 315 286

멀티미디어 휴 폰 680 1,078 1,477 1,742 1,928 2,343

휴 용 게임기 557 772 625 632 565 556

합계 1,450 2,211 2,481 2,691 2,808 3,186

� 휴 단말에 한 동종 멀티프로세서(MPcore)기반의 다양한 연구

가 진행되고 있으나 아직은 이종 멀티프로세서 기반의 연구는 태동

단계임

� 이종 멀티프로세서(HMPcore)를 사용하여 리치미디어를 지원하는

연구는 해외에서도 연구되고 있다는 정보가 없음

� HMPcore와 유사한 MPSoC(Multiprocessor+IP) 개념의 고성능

멀티미디어 및 네트워크 처리 플랫폼 개발은 초기 연구단계로 진

행되고 있음

� Envivio에서는 미국 방송장비 박람회인 NAB2005에서 휴 단말

기를 위한 리치미디어 콘텐츠 포맷인 LASeR를 실제 핸드폰에 구

현한 사례가 있음 (Envivio사, Streamezzo사)

� MPcore 개발에 필수적인 온칩 네트워크에 한 연구가 진행되어

초기 시장 진입을 시도하고 있음

□ 통방융합 서비스용 Security SoC

- 기존의 거 CAS 벤더(NDS, Nagra 비전 등)들은 미국의 케이블

방송 시장에서 요구하는 D-CAS 솔루션 개발 진행 중임

- 방통융합환경에서요구되는CAS-DRM 연동솔루션개발진행중

- 미디어 프로세서에 홈 네트워크용 Security 기능을 추가한 SVP

SoC 개발 및 홈 미디어 서비스 적용 중

- IPTV 등 신규 미디어 플랫폼용 CAS 개발 상용화

□ Networked 홈 미디어 SoC

- XiMedia : PC환경에서의 Direct Network Access 기능을 제공

하는 SoC 개발 및 Portable Media 기기에 적용

구분 주요현황

국외

기술개발

현황

구분 주요현황

□ MPSoc (Multiprocessor+IP) 개발 사례

구분 주요 기능 주요 구성

Intel

IXP2850

Network

Processor

Philips

NexperiaTM

TI OMAPTM

ST

NomadikTM

Renesas

SH-

MobileR

네트워크 프로세서

(고성능 패킷 처리 및

콘텐츠 처리)

디지털 비디오

엔터테인먼트 시스템

제공 (디지털 TV,

홈게이트웨이, 네트워

크, set-top box)

3G wireless 서비스(speech processing,

GPS, security, 멀티미디어)

모바일 멀티미디어

서비스 (MPEG-4

코덱, PDA 기능)

모바일 기기용

프로세서(Navigation,

Mobile TV phone,

PMP등에 적합)

� 16 multi threaded micro-

engines

� 1 Xscale processor

� 2 Cryptography

� 1 Trimedia TM32 5_issue

VLIW

� MIPS PR3940 32 bits

� 2D rendering Engine

� MPEG2 video decoder

� image composition

processor

� TI C55x DSP

� ARM7TDMI

� ARM926EJ-S

� A/V Accelerator

� SHX2 CPUcore(479MIPS)� Video Processing Unit

(H.264,H.263,MPEG4,WMV supported, VGA30fps)

� DSP core� 2D Graphics Accelerator� JPEG Accelerator

구분 주요현황

� 이종 멀티프로세서 기반의 MPcore를 사용하여 리치미디어를 지

원하는 표준화 연구는 없고, 리치미디어 지원을 위한 LASeR 표

준이나, 개별 미디어 코덱표준이 진행되고 있음

� LASeR의 표준화는 W3C와 융합이 될 수 있도록 진행되고 있으

며, 다른 표준 기구인 OMA와 3GPP의 요구사항을 수용하고,

DVB-H, DMB 서비스에서 요구하는 사항을 포함하는 방향으로

표준화 진행

� 상 표준화는 MPEG-4, H.264를 거쳐서 MPEG-21 13편(Part

13)인 ISO/IEC 21000-13로 진행되는 SVC(Scalable Video

Coding) 진행되고 있음

� 3D 그래픽을 위한 API로는 소스 공개 정책에 의해 범용 OS에서

사용되는 OpenGL과 Microsoft사의 Window 계열 OS에 사용되

는 Direct3D가 주를 이룸

� 음성 표준화는 주로 MPEG 그룹에서 진행되고 있으며, AAC,

BSAC, MP3, AAC+ 등이 사용되고 있음

표준화

목표 및

주요내용

국외

기술개발

현황

Page 12: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

14 IT SoC Magazine

3. 특허동향

4. 정책동향

구분 주요현황

주요이슈 및

향후 전개방향

표준화

응전략

구분 주요내용

� 상/음성/그래픽 등 멀티미디어 관련 표준이 다양하게 존재하

기 때문에, 하나의 표준만 지원하는 것 보다 다양한 형식의 표

준을 지원하는 통합 표준화 정책이 주로 채택되는 경향이 있음

� 시스템 표준은 특히 개별 모듈에 한 표준을 제한하지 않고,

사용자가 원하는 표준의 모듈을 선택할 수 있도록 인터페이스

계층을 둬서 여러 환경에서 사용가능한 시스템으로 정해지는

경향이 강함

� 다양한 통방융합 멀티미디어 제품이 출시되고 있으며 이들은

여러 개의 표준을 다양하게 지원하고 있음

� 휴 융합단말기는 관련 표준이 성숙될 때까지 기다리지 말고,

새로운 서비스를 융합하는 플랫폼을 먼저 개발해서, 기존의 표

준과 접목시켜 새로운 표준을 제시해야 함

� 세계적인 휴 단말 제조기술을 기반으로 동종 또는 이종 멀티

프로세서 기반의 플랫폼을 개발하여 핵심 기술을 확보하고, 표

준화 기술에 접목시켜 비교우위의 국가 경쟁력을 유지해야 함

� 국가출연 연구소의 주도로 국내 사업자와 함께 방송/통신 분야에

향력이큰 로벌기업들과협력해서신규표준을추진해야함

� 해외 기업들이 갖고 있는 휴 단말 관련 특허를 최소로 적용해

서 새로운 서비스를 수용할 수 있는 기술에 한 지적재산권

확보 노력이 필요함

� 차세 이동통신을 중심으로 방송/통신 그리고 멀티미디어가

하나로 융합되면서 다양한 산업 역으로 확 되는 추세임

� 여러 산업이 융합되면서 거 한 새로운 시장이 형성되기 때문

에, 관련 분야에 한 특허 출원이 급증하고 있음

� 통방융합 멀티미디어 분야와 관련해서 상/음성/3D그래픽

그리고 이들 멀티미디어 내용을 표현하고 전송하는 시스템 기

술에 한 특허와 함께 융합 단말을 이용한 서비스 관련 특허

가 중요함

� 표준화와 관련이 없는 기술에 한 특허는 사장될 가능성이

많기 때문에 표준과 접목된 특허 개발이 필요함

� 여러 산업 간의 융합으로 새로운 시장이 열리기 때문에 각국

의 관련 특허 취득 전쟁이 치열할 것으로 예상됨

특허목표

및 주요내용

주요이슈 및

향후 전개방향

구분 주요내용

� 표준화와 관련이 없는 기술에 한 특허는 사장될 가능성이 많

기 때문에 표준과 접목된 특허 개발이 필요함

� 현재 이동통신 분야와 단말기 제조 분야의 세계적인 기술력을

기반으로 선행연구를 진행해서 새로운 특허를 개발해야 함

� 특허를 피하기 위한 로벌 기업과의 협력이 요구되며, 특허맵

을 통한 관련 특허 동향을 주시하고 핵심기술에 한 특허취득

이 필요함

특허

응전략

구분 주요현황

한국

미국

� 정보통신 정책인 IT839를 수정∙보완한 u-IT839 전략이 금년

부터 시행될 예정임

� u-IT839 전략에는 기존 8 서비스 중 VoIP(인터넷전화)는

상용화에 따라 제외하고 DMB(이동멀티미디어방송)와 DTV(디

지털TV)는 통합하는 신에 통방 융합 및 소프트웨어의 중요

성이 강조되는 추세를 반 해 광 역 융합서비스와 IT 서비스

를 추가하 음

� 현재 추진 중인 광 역통합망(BcN)은 RFID/USN과 IPv6 인프

라를 아우르는 핵으로서 통신∙방송 융합의 주도권 향배에 열

쇠를 쥐고 있는 차세 서비스 및 신성장 동력의 근간임

� 우리나라의 경우 지상파방송은 2004년 12월 기준 약 250만

의 디지털 TV가 보급되었고 약 200만 가구에서 디지털 TV

를 시청하고 있음

� FCC를 중심으로 디지털시 규제완화와 공공이익의 추구를

기본적인 정책이념으로 내걸고, 디지털방송 정책을 추진하고

있음

� 디지털방송의 활성화를 위하여 2007년 7월 1일까지 13인치

이상 텔레비전 수상기에 디지털튜너를 의무적으로 장착하도록

하 고, 2005년 7월 5일부터는 디지털방송 콘텐츠의 보호를

위해 Flag 표시제를 도입하 음

� 미국통신산업연합(ATIS) 등 표준화 단체를 중심으로 유선인터

넷과 2세 (G) 및 3G 이동통신 뿐만 아니라 무선 인터넷을 결

합한 망을 중점적으로 검토하고 있음

� 통방융합에 한 진입규제와 관련해서 지난 1996년 새 통신법

제정을 통해 통신∙방송∙케이블TV∙신규미디어 등의 모든

분야에서 진입규제를 철폐하는 흐름임

Page 13: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Special Report 15

Special Report

국내역량 분석 및 육성전략

1. 보유자원 평가(정성적 측면)

IV구분 주요현황

일본

유럽

중국

� 광네트워크 구축을 통하여 고품질 서비스(QoS) 제공 측면에

집중하고 있으며, 최근에는 전달망에 유∙무선 통합을 포함한

IMS 도입을 진행 중임

� 1994년 방송법, 전파법 개정을 통해 디지털방송 활성화를 위한

제도를 마련하 고, 총무성을 중심으로 지속적으로 규제완화를

하면서 디지털방송의 활성화를 추진하고 있음

� 향후 10년 이내에 약 200조엔(1억8000만 달러)을 디지털 분야

에 투자할 예정이고, 2011년 까지 전국에 디지털화를 완료한다

는 계획임

� 일본 통방융합에 한 진입규제와 관련해서 종래의 엄격한 법

체계를 개정, 양 진 사업자들 사이에 다양한 형태의 업무

제휴∙합병을 유도하고 있음

� 독일은 3GPP망과 기존 교환망(ATM) 및 인터넷(IP)망은 당분간

통합 없이 별도 구축을 추진 중인 것으로 파악되고 있으며, 향

후 NGN 도입 방향을 결정하지 못하고 표준화 동향을 주시함

� 독일은 1997년에‘정보통신서비스법’과‘미디어서비스국가협

약’을 제정하여 디지털방송을 위한 법제를 마련하 고, 주‘미

디어관리청’을 중심으로 의견의 다양성을 보장하는 차원에서

디지털방송의 활성화 정책을 추진하고 있음

� 국 BT와 프랑스 FT는 현재 운용 중인 ATM 망구조를 NGN

개념으로 고도화시키는 것과 동시에 기존 인터넷망에 다중위상

조정장치(MPLS) 기술을 적용하여 고품질의 이동 및 무선 접속

능력을 강화하는 작업을 진행 중임

� 국의 경우 2003년‘Communication Act 2003’을 제정하여

디지털방송 도입을 위한 법제를 마련하 고, OFCOM을 신설

하여 방송과 통신의 융합서비스 및 디지털방송 서비스 도입을

위한 규제체계를 정비하 음

� 유럽연합(EU)은 이미 지난 2002년 EU지침(Directive)을 제정,

예외적으로 사업권을 허가할 뿐 원칙적으로는 통신∙방송망을

통한 모든 서비스에 한해 최소한의 진입규제를 권고함

� 광전파전자총국을 중심으로 디지털화를 추진하고 있으며, 실질

적인 디지털화는 각성별로 자율적으로 추진하고 있음

� 디지털 TV및 산업에 중요성을 인식하여 1992년부터 디지털 연

구팀을 조식하여 운 하고 있고, 디지털TV 시험방송을 실시하

고 있음

� 디지털 지상파 TV의 경우 자체 표준 방식을 채택 한다는 계획

이고 2015년까지 디지털화를 완료한다는 계획임

구분 주요내용

�급변하는 모바일 시장 환경으로 인해 구조 조정되는 인력을 적절

한 재교육을 통해 재배치하는 문제가 있음

�핵심부품의 개발 없이 시스템 조립에 치중함으로써 경쟁력이 약

화됨

�연구 인력의 고용불안과 열악한 근무 조건으로 인해 이공계 기피

현상으로 나타나고, 이것은 핵심 연구개발 인력의 부족으로 이어

지고 있음

�전문 인력 양성이 정책적/제도적으로 체계화되어 있지 않아서 인

력수급의 불균형이 항상 존재함

�모바일 서비스에서 소프트웨어가 하드웨어보다 중요할 수 있는데,

현재는소프트웨어보다 하드웨어산업에 많은인력이치우쳐있음

�휴 인터넷인 와이브로, 위성/지상파 DMB 등 첨단 모바일 서비

스를 세계 최초로 개시했으며, 넓은 지역으로 확 되고 있음

�높은 수준의 경쟁력을 지닌 이동통신 및 이동 멀티미디어 방송

기술(T-DMB)을 바탕으로 관련 핵심 기술에 한 경쟁력 확보 및

방송∙통신 복합 단말 기술 확보가 가능하며, 관련 신규 시장의

주도 및 선점이 가능함

� 국내 모바일 산업은 지난 10년간 규모가 14배 이상 성장했고 기

업역량과 산업 인프라 측면에서 세계 최고 수준이 되었음

� 모바일 서비스 매출은 매년 27%, 기기생산 능력은 37.7% 성장

했고, 수출은 연평균 44% 증가하고 있음

� 카메라폰, MP3폰, 휴 인터넷 등에서 국내업체들은 세계 최고의

기술수준을 보유하고 있음

� 소비자 휴 폰 교체 시기가 선진국의 절반 정도 밖에 안되는 국

내시장에 모바일 관련 신제품과 기술을 빠르게 적용하여 산업을

활성화 시키고 있음

� 국내 통신, 방송 업계 간 이해 립과 정부 부처 간 립이 발전

에 걸림돌이 되므로 국가적 차원에서 정책적 조정이 필요함

� 의료, 보안 등 새롭게 등장하는 모바일 서비스 부문에서 관련 제

도를 정비하여 산업화를 앞당길 수 있어야함

� 모바일 서비스(예: 위치정보 서비스) 도입과 관련 돼서, 이들 서

비스를 활성화할 수 있는 제도적 뒷받침이 요구됨

� 국내 시장을 모바일 신서비스의 로벌 테스트베드로 발전시키

기 위해서는 해외 유수 기업을 유치할 수 있는 정책적 지원이 필

요함

� 다양한 산업이 융합되어 새로운 시장을 형성하게 되므로, 법제도

와 세제지원 등을 통해서 정책이나 규제가 시장을 제한하지 않

도록 조기 정책 조정이 필요함

인력측면

물리적

인프라 측면

산업화

역량 측면

정책적

지원 측면

Page 14: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

16 IT SoC Magazine

2. SWOT 분석과 응전략

3. 핵심발전 동인(Key Driver) 분석

4. 시장진입 및 육성전략

향후 멀티미디어를 넘어서는 리치미디어 콘텐츠 서비스 시

장이 더욱 확 될 것으로 보이며, 모바일 리치미디어 콘텐츠를

플레이할 MCP(Mobile Contents Player) 시스템 시장도 날로

확장되어 갈 것이다<그림 2>. 따라서 리치미디어를 플레이하

는 이종 멀티프로세서 SoC 솔루션은 리치 미디어 세상의 핵심

산업이다. 멀티미디어를 초월하는 리치 미디어용 SoC 솔루션

제공을 통하여 휴 단말 산업의 활성화를 이끌어야 하겠다.

또한, 이종 멀티프로세서 기반의 MPcore 플랫폼을 통하여 IT

SoC 산업의 인프라를 제공하고 리치미디어 지향 멀티프로세

구 분

�디자인을통한시장확

�새로운서비스도입

�산업간융합을통한새로운

콘텐츠공급

�앞서가는단말기디자인

�최고수준의이동통신인프라

�다양한콘텐츠개발업체

�다양한통신서비스

�새로운통신서비스개발

�기술인력의해외유출방지

O (기회)

�산업구조의급격한변화

�선진통신국가이미지

�시장규모의확

�무선인터넷원천기술확보

T (위협)

�중국업체의급속성장

�내수시장의포화

�국가간경쟁치열

�기술개발환경미비

�핵심기술투자로가격

경쟁력확

�기술력을바탕으로적극적인

해외홍보전략수립

�핵심부품의국산화

�핵심기술미보유

�기술료해외유출

�핵심부품해외의존심화

�기업의낮은해외인지도

�핵심인력양성

�해외협력을통한윈윈전략

핵심동인 주요내용

견인

요인

저해

요인

이동통신 인프라가 세계 최고 수준이기 때문에 다양한

단말기 또는 서비스의 테스트 및 검증이 용이함

새로운 테크놀로지에 잘 적응하는 역동적인 국민성을

기반으로 새로운 이동통신 서비스의 세계적 테스트베

드로 활용

지상파 DMB, 와이브로 등 세계 최초로 개발된 신기술

을 조기 정착해서 해외진출의 발판을 마련해야함

휴 단말기의 디자인 및 제조기술이 세계 최고 수준이

므로, 이를 기반으로 통방융합 단말기 제조 분야의 선

두가 될 수 있음

통신시스템 개발에는 고급 개발인력이 절 적으로 필

요한데 현재의 이공계 기피현상으로는 발전이 어려움

잠재

요인

해외에서 도입한 첨단 기술을 기반으로 통신시스템이

구축되기 때문에 원천 기술에 한 기술료 유출이 심

각함

단말의 제조 산업은 발달되어 있지만 단말에 들어가

는 핵심 부품은 수입하는 실정이며, 이는 경쟁력 약

화로 이어짐

휴 단말의 서비스 분야가 중요하므로 하드웨어보다

는 소프트웨어 부문에서의 경쟁이 더욱 치열할 것으

로 예상됨

아시아의 개발도상국이라는 이미지가 있어서 첨단 기

술을 해외에 판매할 때 품질에 한 해외 인지도가

낮은 상태임

서로 별개 던 산업 간에 융합이 일어나고, 새로운

서비스가 생겨나면서 새로운 시장이 열리고 있음

지구 전체가 하나의 시장으로 인식되면서, 국제 경쟁

력을 갖춘 제품의 해외 진출이 빠르게 진행되며 시장

규모가 확 됨

유무선 인터넷과 이동통신의 발달로 인한 다양한 콘

텐츠에 한 요구로 경쟁력 있는 콘텐츠 개발 업체가

많이 생겨났음

와이브로와 같은 새로운 무선 인터넷 서비스를 개발

하는 연구개발 인프라를 보유

정부에서도 IT산업의 활성화에 지원을 아끼지 않고

있기 때문에 이 분야는 계속 활성화 될 것임

이동통신 인프라

역동적 소비자

신기술 개발 능력

휴 단말 제조기술

핵심인력의 부족

저해

요인

기술료 해외 유출

핵심부품의

해외의존

소프트웨어부문

취약

낮은 해외인지도

산업 구조의

급격한 변화

시장 규모의 확

다양한

콘텐츠개발 업체

무선인터넷

개발 능력

IT산업에 한

정부정책

W (약점) WO전략 WT전략

S (강점) SO전략 ST전략

Page 15: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Special Report 17

서 및 소프트웨어 개발환경을 확보하여 모바일 프로세서 시장

의 진입을 앞당겨야 한다. 덧붙여 개별의 리치미디어 IP 개발

을 통하여 멀티미디어 SoC의 산업의 활성화에 기반을 마련해

야하겠다.

분야별 기술로드맵

1. 모바일 멀티미디어 부품

가. 개요

V

Special Report

휴 단말시장

멀티미디어

SoC�시장

멀티프로세서

기반시장

MCP�시장

멀티미디어

ESW�시장

홈�미디어

서버�시장

MPcore�솔루션

이종�멀티프로세서

Rich-Media�Contents

<그림 2> MPcore 솔루션 관련 시장 연계도

구분 2006 2007 2008 2009 2010 2011 2012

휴 방송/화상통신

리치미디어 단말 시스템

데이터 및 멀티미디어

통합 저전력 SoC

다중 미디어 처리 플랫폼

고속 미디어 프로세서 및

스트림 처리 주변 부품

통방/

멀티

미디어

컨버전스

부품

통방융합서비스

모바일단말

모바일멀티미디어부품

홈미디어서버

홈미디어서비스부품

통방융합 고품질

상 서비스

통신-리치미디어

컨버전스 시스템

통신-멀티미디어

복합 정보 처리 SoC

용량 미디어

네트워크 서버

고속 미디어

네트워크 프로세서 및

용량 스트림 관리 부품

구분 주요내용

�통방/멀티미디어 컨버전스 부품은 유무선 및 통

신∙방송 융합에 따른 다양한 멀티미디어 서비스

에 필요한 핵심 부품임

� 상 시장은 기존의 휴 폰, PMP, PDA, 내비게이

션, 모바일 게임기 등과 같은 휴 단말기 시장이

며, 방송의 DMB 기능과 융합되는 추세임

� 통신망의 광 역화 및 방송의 디지털화에 따라

서비스, 사업자, 단말기 등이 융합되는 컨버전스

패러다임이 본격화되고 있고, 멀티미디어를 넘어

서 리치미디어로 진화하며 시장을 확 하고 있음

� 멀티미디어 단말기 시장은 2003년에 세계 시장

규모가 540억달러로 시장 형성 단계에 들어섰고,

2007년에는 1,000억달러로 예상되므로 본 연구

개발을 통해 시장의 주도권을 잡을 수 있음

� 통신, 방송 그리고 멀티미디어와 같은 별개의 산

업이 서로 융합되면서 거 한 새로운 시장이 열

리고 있기 때문에, 초기 진입을 통한 시장 점유가

절 적으로 필요함

� 통방융합 단말기 부품의 연구개발은 IT SoC와

통신/방송의 인프라 스트럭쳐로 요구되는 사항인

데, 현재 국내 이동통신 기반시설 환경은 세계적

인 수준으로 구축되어 있기 때문에 유지 발전하

기위해서 지속적인 기술 개발이 요구됨

� 이종 멀티프로세서의 연구는 일반적인 다중프로

세서의 연구를 뛰어넘는 획기적인 분야로 향후

프로세서와 SoC 분야에서 향상된 위치를 확보할

수 있을 것임

� 리치미디어를 지원할 수 있는 멀티코어 시스템

개발을 통하여 configurable 프로세서, 통합 멀

티미디어 코덱, 3D 그래픽, 컴파일러 그리고 임

베디드 소프트웨어 기술을 한 단계 발전시킬 수

있음

� 통방융합 단말기 기술은 아직 태동 단계에 있고,

SoC 설계기술, 멀티코아 플랫폼 그리고 응용시

스템 기술과 같은 종합적인 기술이 요구되므로

국책사업으로 기술 개발을 선도하는 것이 필요함

� 본 연구를 위해서는 다양한 분야의 융합기술 개

발에 따른 규모의 예산과 지속적인 연구개발이

필요하므로, 국책사업으로 경제변화에 향을 받

지 않고 추진하는 것이 절 적으로 요구됨

개념 및 범위

시장성

국책성

기술성

기술개발

필요성

Page 16: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

18 IT SoC Magazine

나. 달성목표와시나리오전개

다. 핵심요구기능및발전전망

1) 핵심요구기능(Critical System Requirement)

2) 핵심요구기능 발전전망

라. 시장진입및육성전략

마. 기술 역및관련요소기술

구분 단기(‘06~’07) 중기(‘08~’10) 장기(‘11~’12)

� 휴 화상 통신

서비스

� 리치미디어 단말

시스템

� 데이터 및 멀티미

디어 통합 저전력

SoC

� 멀티코아 시스템

기술

� 유무선 통합 기술

� 휴 단말 화상통신

기술

� 통신/방송의 융합

서비스로 산업 간

융합이 가속화됨

� 인터넷과 TV가 만

나는 IPTV는 통신

과 방송이라는 이

종 산업간의 표

적 융합서비스로서

VoIP, 초고속인터

넷, TV 서비스를

동시에 제공하려는

추세임

� 다양한 리치 미디

어를 지원하기 위

하여 별도의 미디

어 코덱을 사용하

지 않고 통합 멀티

미디어 코덱을 사

용하게 됨

실현

목표

필요

기술

전개

시나리오

� 휴 방송 서비스

� 멀티미디어 단말

시스템

� 멀티미디어 저전력

SoC

� 멀티미디어 부품

기술

� 휴 인터넷 기술

� DMB 시스템 기술

� 전 세계적으로 모바

일 멀티미디어 기기

의 가장 큰 화두는

하나의 휴 단말에

다양한 멀티미디어를

통합하는 컨버젼스

개념으로 관련 시장

이 확 되고 있음

� 통신망의 광 역화

및 방송의 디지털화

에 따라 유ㆍ무선 통

합, 음성ㆍ데이터 통

합 및 통신ㆍ방송 융

합이 활발하게 이루

어짐

� 통방융합 고품질

유비쿼터스 서비스

� 통방-멀티미디어

컨버젼스 단말

시스템

� 통방-멀티미디어

복합 정보처리 SoC

� 통방 융합 플랫폼

기술

� 멀티코아 플랫폼

기술

� 완전한 음성과 데이

터, 유선∙무선 통

합, 통신∙방송 융합

서비스 제공으로 유

비쿼터스 통합 서비

스 제공이 가능해짐

� 멀티프로세서의 분

산 병렬처리 기능과

새로운 소프트웨어

의 다운로드를 통하

여 기능을 재구성할

수 있는 멀티프로세

서 코어 플랫폼의 개

발로 모바일 리치미

디어기능이 가능함

시나리오 관점명(Name of scenario aspect)

핵심요구기능정의 선정근거

(CSR)

하나의 SoC가 다양한

미디어를 처리할 수있는 능력

SoC가 재구성되어 다른 기능

을 수행할 때 필요한 시간

SoC가 시스템 요구 성능을

만족하면서 소모되는 전력

Multi-format

처리

재구성

소요 시간

저전력

(성능 비)

리치미디어 단말 시스템에서는

다양한 미디어를 필요에 의해 처

리 할 수 있는 SoC가 필수

재구성 SoC가 실용적이 되기 위

해서는 재구성 소요시간이 중요

한 요소임

휴 단말 SoC에 필수적으로 요

구되는 사항

핵심요구기능2006 2007 2008 2009 2010 2011 2012

구성요소

SVC/H.264/MPEG-4

3D/2D Vector Graphics

us

1 Gops/mW

Multi-format 처리

재구성 소요시간

전력

H.264/

MPEG-4

수 초

500Mops/mW

WAN/LAN/PAN

/Multimedia 통합

ns

3 Gops/mW

서비스 상및범위시장진입시기 시장진입 및 육성전략

(최종제품 예상형태)

2007

2009

2012

통방융합 서비스

(통방융합 단말기)

휴 방송/화상통신

(리치미디어 단말기)

고품질 통방융합

유비쿼터스 서비스

(유비쿼터스 단말기)

통신, 방송 융합 서비스의 정책적 지원과

개별 멀티미디어를 통합하는 SoC 솔루션

제공으로 관련 산업 활성화 추진

멀티미디어를 넘어서는 리치미디어를

지원하기 위해서는 멀티코어 플랫폼 기반의

멀티 코덱 기술 지원이 요구됨

음성과 데이터, 유선∙무선 통합, 통신∙방송

융합서비스 제공으로 유비쿼터스 통합

서비스 환경 구축이 요구됨

- 저전력(성능 비)

- 재구성 소요시간

- 멀티포멧처리

멀티코어 플랫폼

응용시스템 설계

SoC 설계 방법론

기술 역 관련 요소기술

기술 역 관련 요소기술

ASIP, 병렬처리 컴파일러, 칩내 통신 시스템

통합 상코덱, 통합 mobile 그래픽처리, 통합 오디오

코덱

SystemC 기반 설계, 기능/통신 매핑, 조립식 OS

�멀티코어 플랫폼

: ASIP, 병렬처리 컴파일러, 칩내 통신 시스템

�SoC 설계 방법론

: 기능/ 통신 매핑, 조립식 OS, SystemC 기반

설계

�응용시스템 설계

: 통합 상/음성코덱, 통합 모바일 그래픽

Page 17: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Special Report 19

바. 기술분석

1) 특허분석 및 응전략 탐색

2) 기술역량 및 경쟁력 분석

3) 기술의 특성 및 파급효과

Special Report

멀티코어

플랫폼

범용 ASIP가 아니고 개별 목

적을 갖는 구조이기 때문에

특허와 관련되는 부분이 상

적으로 적음

응용 소프트웨어처럼 컴파일

러는 구현 기술이기 때문에

관련 특허는 미미한 편임

온칩네트워크 관련 특허가 최

근에 많이 나오고 있음

VCTR 표준화 작업이 진행되

고 있기 때문에 새로운 특허

가 계속 나오고 있는 상황임

2D/3D 그래픽은 개별적으로

특허가 많이 나와 있고, 통합

처리에 한 특허도 활발히

이루어지고 있음

개별 오디오 코덱은 표준화가

이루어져 있고 관련 특허도

완료되어 있지만 통합 방법에

한 특허는 아직 정립되지

않았음

SystemC 기반 설계는 단지

모델링을 SystemC로 하자는

것이기 때문에 특허 자체와는

무관함

논문 수준에서 이론적인 특허

가 나오는 단계

단일 OS 기술은 관련 특허가

있지만, 조립식 OS 기술 관

련 특허는 거의 없음

기술 역 요소기술명 특허현황 시사점 및 응전략

ASIP

병렬처리

컴파일러

칩 내 통신

시스템

통합

상코덱

통합

Mobile

그래픽 처리

통합

오디오

코덱

SystemC

기반 설계

기능/칩 내

통신 매핑

조립식 OS

구현 기술로 특허 문제는

크게 관련이 없음

컴파일러 기술은 오래된 기

술이지만 병렬처리 컴파일

러는 아직 미개척 분야임

온칩 네크워크 분야는 아직

초창기에 해당되므로 선행

연구를 통해 특허에 적극

처하는 것이 필요함

표준화가 진행되고 있기 때

문에 표준화 작업에 적극적

으로 참여하면서 능동적으

로 처

그래픽 통합은 세이기 때

문에 특허에 비한 앞선

기술개발이 요구됨

통합 오디오 코덱을 선행하

여 연구함으로써 관련특허

를 획득할 수 있음

구현 방법이기 때문에 특허

와 관련이 없음

칩 내부의 기능 모듈 간에

통신 매핑하는 방법은 구현

기술이기 때문에 특허를 피

해갈 수 있는 여지가 많음

조립식 OS 기술은 아직 미

개척 분야이기 때문에 특허

여지가 많음

응용

시스템

설계

SoC

설계

방법론

멀티코어

플랫폼

3

3

2

1

2

1

2

2

2

40

40

60

80

60

80

60

60

60

미국,유럽

유럽

유럽

미국,만

미국유럽일본

유럽

미국

유럽

미국

기술 역 요소기술명 판단사유 및 근거

ASIP

병렬처리컴파일러

칩내 통신시스템

통합상코덱

통합Mobile

그래픽 처리

통합오디오 코덱

SystemC기반 설계

기능/칩내통신 매핑

조립식 OS

미국의 Tensilica, ARC,유럽의 IMEC 등이제한적인 ASIP의 상용화

유럽의 IMEC, Target 등이Retargetable 컴파일러상용화

유럽의 필립스나 학교를중심으로 NOC(NetworkOn Chip) 연구가 활발함

미국의 Vector, 만학교에서 저전력 IP를 발표

미국의 ATI, 국의 ARM,일본의 SONY 등이고성능/저전력 SoC 보유

유럽을 중심으로 표준화 및SoC 설계가 활발함

미국의 Synopsys중심으로 ESL 표준화 및CAD 툴 개발

유럽의 필립스나 학교를중심으로 NOC(NetworkOn Chip) 연구가 활발함

Embedded Linux, WinCE등의 제품들이 상용화

응용

시스템

설계

SoC

설계

방법론

기술경쟁력 현황

기술격차(년)상 적수준(%)

최고기술보유국

기술요소기술명

기술성숙도 기술단계파급효과

역 (1-5) (기초,응용,개발)

2

2

2

4

4

4

2

2

4

기초

기초

기초

응용

응용

응용

기초

기초

응용

ASIP

병렬처리컴파일러

칩 내 통신시스템

통합 상코덱

통합 Mobile

그래픽 처리

통합

오디오 코덱

SystemC 기반

설계

기능/칩 내

통신 매핑

조립식 OS

SoC 의 TTM과 성능/전력의

솔루션을 제공할 수 있음

멀티 코어 운 에 필수적임

멀티 코어 운 에 필수적임

멀티미디어 서비스 및 시스템

을 선도할 수 있음

멀티미디어 서비스 및 시스템

을 선도할 수 있음

멀티미디어 서비스 및 시스템

을 선도할 수 있음

SoC 의 TTM과 성능/전력의

솔루션을 제공할 수 있음

멀티 코어 운 에 필수적임

멀티 코어 운 에 필수적임

멀티코어

플랫폼

응용

시스템

설계

SoC

설계

방법론

Page 18: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

20 IT SoC Magazine

사. 기술로드맵(종합) 2. 홈미디어 서비스용 부품

가. 개요구분 2006 2007 2008 2009 2010 2011 2012

휴 방송/화상

통신 서비스

리치미디어

단말기

�멀티미디어와

애니메이션을

섞어서표현하

는 리치미디어

서비스(LASeR)

로발전

�모바일 단말을

통해 휴방송과

단말기간의화

상통신(H.325)

이가능해지는

형태로진화

�ASIP 기술

�병렬처리 컴파

일러기술

�통합모바일그

래 픽 처 리

기술

�리 치 미 디 어

LASeR 기술

서비스 및

제품

(Market

needs)

통방융합 서비스

통방융합 단말기

�휴 인터넷과

같은 통신과

DMB와 같은

방송 기술이

서로 융합돼서

새로운 시장을

형성

�모바일 단말

시스템도기존

의 핸드폰에

멀티미디어 기

능과 DMB기

능을 지원하는

형태로 진화

� 상 (SVC)

코덱 기술

�칩 내 통신

시스템 기술

�통합 오디오

코덱(AAC+/

BSAC) 기술

고품질 통방융합

유비쿼터스 서비스

유비쿼터스 단말기

� 음성과 데이터, 유선∙

무선 통합, 통신∙방송

융합서비스 제공으로

언제 어디서나 사용할

수 있는 유비쿼터스

통합 서비스로 발전

� 상과 음성 그리고

그래픽이 모두 고화질

을 지원하고 건강과

레저를 포함하는 고품

질 서비스로 진화

� 기능/칩내 통신 매핑

기술

� 조립식 OS 기술

� SystemC 기반 설계

� 통합 비디오 코덱

(VCTR) 기술

� H.325 시스템 기술

기술 확보

계획

기술발전

전망

구분 주요내용

�홈 미디어 서비스용 부품은 광 역융합 서비스 및 U-Home 서비

스 제공에 필요한 핵심 SoC로 통방융합 서비스용 Security SoC

와 Networked 홈 미디어 SoC로 구분할 수 있음

�홈 미디어 서비스용 부품은 U-Home 기반 Networked Home

Media 서비스를 제공하기 위한 핵심 SoC로 홈서버, STB에 활용

되며, New Media 서비스인 IPTV, WiBro, WiMAX용 단말기의

Security SoC로 활용이 기 됨

�통신망의 광 역화 및 방송의 디지털화에 따라 서비스, 사업자,

단말기 등이 융합되는 컨버전스 패러다임이 본격화되고 있고, 멀

티미디어를 넘어서 리치미디어로 진화하며 시장을 확 하고 있음

�통방융합 환경에서의 Killer Application이 방송 컨텐츠를 포함한

미디어 컨텐츠 이므로 통방융합 Security 시장은 무한한 가능성

을 가지고 있음

�멀티미디어 단말기 시장은 2003년에 세계 시장 규모가 540억달

러로 시장 형성 단계로 들어섰고 2007년에는 1,000억달러로 예

상되므로, 본 연구 개발을 통해 시장의 주도권을 잡을 수 있음

�통신, 방송 그리고 멀티미디어와 같은 별개의 산업이 서로 융합되

면서 거 한 새로운 시장이 열리고 있기 때문에 초기 진입을 통한

시장 점유가 절 적으로 필요함

�방송통합의 융합 현상 가운데서 U-Home 서비스를 제공하기

위해서는 방송 환경과 통신환경을 유연하게 연동할 수 있는 Net-

worked Media SoC 가 필수적으로 요구되며 향후 Netwrked

Home Media 시장이 폭발적으로 성장할것으로 예상됨

�통방융합 단말기 부품의 연구개발은 IT SoC와 통신/방송의 인프

라 스트럭쳐로 요구되는 사항인데, 현재 국내 이동통신 기반시설

환경은 세계적인 수준으로 구축되어 있기 때문에, 유지 발전하기

위해서 지속적인 기술 개발이 요구됨

�통방융합 Security 기술은 기존의 방송의 CAS와 통신의 DRM의

확장통합 기술과 이종 Security 솔루션을 수용할 수 있는 유연한

Security 구조가 핵심기술이며, 이와 관련된 CAS 및 DRM 기반

기술이 확보되어 있음

�Networked Home Media 서비스를 구현하기 위해서는 방송단말

에 통신(PC)분야에서 사용되는 컨텐츠 변환기술, Streaming 기술,

IP 기술이 방송 플랫폼과의 유연한 통합기술이 핵심임

�홈 미디어 서비스 부품기술은 아직 태동 단계에 있고, SoC 설계

기술, 이종 Security 연동기술 그리고 이종 플랫폼(방송+통신) 통

합기술과 같은 종합적인 기술이 요구되므로 국책사업으로 기술

개발을 선도하는 것이 필요함

�본 연구를 위해서는 다양한 분야의 융합기술 개발에 따른 규모

의 예산과 지속적인 연구개발이 필요하므로, 국책사업으로 경제변

화에 향을 받지 않고 추진하는 것이 절 적으로 요구됨

�서비스, 사업자, 단말기 등이 융합되는 컨버전스 패러다임이 본격

화되고 있고, 멀티미디어를 넘어서 리치미디어로 진화하며 시장을

확 하고 있음

개념 및 범위

시장성

국책성

기술성

기술

개발

필요성

Page 19: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Special Report 21

나. 달성목표와시나리오전개 다. 핵심요구기능및발전전망

1) 핵심요구기능(Critical System Requirement)

2) 핵심요구기능 발전전망

Special Report

구분 단기(‘06~’07) 중기(‘08~’10) 장기(‘11~’12)

� DMB등 이동단말용

Security SoC

� WiBro, WiMAX등

무선인터넷단말용

Security SoC

� 휴 홈미디어

기기(PMP,MP3P)용

Security SoC

� 사업자 플랫폼과

연계한 CAS-DRM

운 기술

� 이종 단말 플랫폼에

적용위한 확장기술

� CAS DRM 연동

기술

� 통신/방송의 융합

서비스로 산업간

융합이 가속화됨

� 사업자의 수익성을

확보하는

홈네트워크 기반

미디어 재배포

서비스를 위한

CAS-DRM연동

�방송에서 검증된

Security기술의 이종

플랫폼으로 확장

(IPTV, 무선인터넷,

휴 용 미디어 기기)

실현

목표

필요

기술

전개

시나

리오

� 디지털 케이블기반

Home 서버용

Security 및

Networked Home

Media Soc

� IPTV용 Security

SoC

� embedded DRM

STB 플랫폼 통합

기술

� Security Module

다운로드 기술

� 이종 프로토콜

(MPEG-2/IP)

연동기술

� 전 세계적인 통방융

합 서비스의 흐름가

운데서 관련 사업자

들이 가장 중요시하

는 것은 수익성 확보

이며 이를 위해서는

방송컨텐츠를 포함

한 미디어 서비스의

원활한 수용임. 이를

위해 통방융합 환경

에서의 Security 솔

루션은 핵심 중의 핵

심이라 할 수 있음

� 통신망의 광 역화

및 방송의 디지털화

에 따라 방송망을 통

한 방송, 인터넷, 전

화 서비스 제공(TPS)

� 통신망의 QoS 가 보

장된 방송서비스

(IPTV)가 최근의 Big

Issue임

�단일 플랫폼(방송)에

서 이종 Security를

수용하기 위한 다운

로드 Security 및 방

송용 Embedded

DRM 기술

� 통방융합 고품질

유비쿼터스 서비스

단말용 Security

SoC

� QPS 단말용

Security SoC

� 다양한 통방융합

서비스를 위한

Security 기술

� 완전한 음성과 데이

터, 유무선 통합,

통신∙방송융합서비

스 제공으로 유비쿼

터스 통합 서비스 제

공이 가능해짐

� 이를 위한 복합

Security 기술(확장

성과 유연성)의 확보

시나리오 관점명(Name of scenario aspect)

핵심요구기능정의 선정근거

(CSR)

디지털 케이블, IP-TV,

DMB등을 통해 전송되는

미디어 서비스를 처리

SD/HD급 미디어와

전송방법(VOD, Download,

Live Streaming)에

암호화된 미디어를 유연하게

처리할 수 있는 능력

사용자 및 디바이스에 따른

효율적인 인증을 처리하는

능력

SoC가 시스템 요구 성능을

만족하면서 소모되는 전력

Multi-Network

처리

유연하고

빠른 암호

알고리즘 처리

이동성이

제공되는

인증 처리

저전력

(성능 비)

단말은 다양한 형태의 네트워크

로 전송되는 미디어를 유연하게

처리할 수 있는 SoC가 필수

SD/HD급 미디어 및 콘텐츠 포

맷에 따라 적용된 암호 알고리즘

을 유연하고 신속하게 처리할 수

있는 공통 SoC가 필수

유비쿼터스 환경에서의 디바이

스 및 사용자, 도메인간의 공인

된 인증서 기반의 효율적인 인증

처리

DMB, WiBro등의 휴 단말에

적용시 필수적으로 요구

핵심요구기능2006 2007 2008 2009 2010 2011 2012

구성요소

Digital Cable, IPTV등

고정형 단말적용

안정된 SoC로 변화

인증서 기반의 사용자

/디바이스 통합 처리

유연한 인증 메커니즘 도입

1 Gops/mW

Multi-

Network

처리

암호모듈

처리

인증처리

저전력

Digital

Cable

IPTV

DMB

S/W 중심

단순인증

500Mops/mW

Wibro, DMB등 이동형

단말적용

All-IP 통합

Sensor Network 통합

STB, PC, Portable

Device에 적용된 보안

모듈(SoC) 통합

공통 인증 모듈

SoC로 통합

3 Gops/mW

Page 20: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

22 IT SoC Magazine

라. 시장진입및육성전략

마. 기술 역및관련요소기술

바. 기술분석

1) 특허분석 및 응전략 탐색서비스 상및범위 시장시장진입 및 육성전략(최종제품 예상형태) 진입시기

2007

2009

2012

통방융합 서비스

(통방융합 단말기)

휴 방송/화상통신

(리치미디어

단말기)

고품질 통방융합

유비쿼터스 서비스

(유비쿼터스

단말기)

�통신, 방송 융합 서비스의 정책적 지원과 개별

멀티미디어를 통합하는 SoC 솔루션 제공으로

관련 산업 활성화 추진

�통신, 방송 융합 서비스의 비즈니스 모델을 지

원하기 위한 SoC 보안 기술 국산화 및 원천기

술을 확보를 통한 사장 진입

�방송 미디어 서비스 및 IP 미디어 서비스를 위

한 CAS DRM 기술에 한 전략적 응

�Downloadable CAS를 위한 디바이스 요구사

항을 만족하는 SoC 솔루션 산업 진입

�멀티미디어를 넘어서는 리치미디어를 지원하

기 위해서는 멀티코어 플랫폼 기반의 멀티 코

덱 기술 지원이 요구됨

�리치미디어 단말기는 멀티 플랫폼에 적응적으

로 융합될 수 있는 SoC 기반의 보안 기술 지

원이 필수

�S-DMB, T-DMB등을 지원하기 위한 단말기는

멀티 DMB 서비스를 처리하기 위한 Secure

SoC 절 적으로 필요

�음성과 데이터, 유선∙무선 통합, 통신∙방송

융합서비스 제공으로 유비쿼터스 통합 서비스

환경 구축이 요구됨

�통신, 방송 융합 서비스의 활성화를 위한 CAS,

DRM, Forensec을 융합한 고도화 된 SoC 보

안 솔루션 개발을 토 로 시장 활성화 추진

멀티코어 플랫폼

응용시스템 설계

SoC 설계 방법론

기술 역 관련 요소기술

Multi Secure Micro, 다중 플랫폼 Security 처리기술

Secure Download 다중 플랫폼 Key Management

Security Server와의 통합기술, Home Media

Network Module 연동 기술, 사업자 플랫폼 기반

Security 기능검증

암호 알고리즘, 인증알고리즘, CAS, DRM

SystemC 기반 설계, 암∙복호화 최적화 기술

멀티코어

플랫폼

기존 Security System에서

사용되는 Security 기능의

효율적 통합에 관한특허

단일 플랫폼에 한 방통

융합 Security 기술에 관한

특허

Security Client 다운로드의

경우 Security 기업의 고유

기술이므로 이 기술들을

모듈화하여 통합 다운로드

관련 특허

Security 기능의 핵심의 Key

관리는 Security 기업 고유의

기술이며, 개별 Key 관리

모듈에 관한 특허

기존의 독립적으로 운 되던

Security System의 모듈화

하여 통합 운 하기 위한

통합 Security Server

관련특허

사업자의 사업모델에 따른

다양한 Security / Network

연동관련 특허

SystemC 기반 설계는 단지

모델링을 SystemC로 하자는

것이기 때문에 특허 자체와는

무관함

암∙복호화 최적화 기술은

구현기술이며 관련 특허는

거의 없음

단일 OS 기술은 관련 특허가

있지만, 조립식 OS 기술

관련 특허는 거의 없음

기술 역 요소기술명 특허현황 시사점 및 응전략

Multi Secure

Micro

다중 플랫폼

Security

처리기술

Secure

Download

다중 플랫폼

Key

Management

Security

Server와의

통합기술

Home MediaNetworkModule

연동 기술

SystemC

기반 설계

암∙복호화

최적화

조립식 OS

개별 Security System은

Security(암, 복호화) 기능은

기존의 Security 기업이

소유하고 있으나 개별

Security 기능의 통합은

아직 미개척 분야임

특정 하나의 플랫폼에서

상용화가 이루어질 경우

다양한 플랫폼으로

적용하는 것은 구현 기술임

기존의 독립적인 방법으로

운용되던 Security를 통합

운용하기 위한 다운로드

방법은 아직 미개척 분야임

기존의 독립적인 방법으로

운용되던 Key 관리모듈을

통합 Key 관리모듈로

변경은 아직 미개척 분야임

미국의CableLabs

표준화에맞춘Server 기능

구현임

방통 융합 기반 미디어

서비스의 비즈니스 모델에

따라 다양한 기술들이

예상되는 미개척 분야임

구현 방법이기 때문에

특허와 관련이 없음

구현기술이므로 특허의

여지가 별로 없음

조립식 OS 기술은 아직

미개척 분야이기 때문에

특허 여지가 많음

응용

시스템

설계

SoC

설계

방법론

Page 21: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Special Report 23

Special Report

2) 기술역량 및 경쟁력 분석 3) 기술의 특성 및 파급효과

멀티코어

플랫폼

3

3

3

3

2

1

1

2

2

2

1

2

3

2

40

40

40

40

60

80

80

60

60

60

80

60

40

60

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국,

유럽

미국

미국,

유럽

미국

기술 역 요소기술명 판단사유 및 근거

Multi Secure

Micro

다중 플랫폼

Security

처리기술

Secure

Download

다중 플랫폼Key

Management

Security

Server와의

통합기술

Home Media

Network

Module연동

기술

사업자 플랫폼

기반 Security

기능검증

암호 알고리즘

인증 알고리즘

CAS

DRM

SystemC

기반 설계

암, 복호화

최적화

조립식 OS

미국의 Motolora, SA 유럽

의 NDS, NagraVision등 유

수의 Security 기업은 Multi

Secure Micro 운용기술을

기확보

미국, 유럽의 Security 기업

은 이미 디지털 방송, IPTV

등 다양한 플랫폼에 구현한

경험이 있음

Motolora, SA, NDS,

NagraVision은 멀티 CAS

다운로드 기술보유

Motolora, SA, NDS,

NagraVision은 다중 플랫폼

Key 관리기술 보유

Motolora, SA, NDS,

NagraVision은 Security

Server 기반기술 보유, 한국

의 경우도 DMB용 CAS의

상용화로 Security Sever

기반기술 확보

CAS-DRM 연동관련 기술

로 초기단계 이며 국내의 경

우도 CAS 와 DRM의 상용

화 경험이 있으므로 미국,

유럽 비 상당한 수준 접근

한국의 경우 DMB용 CAS의

상용화로 사업자 플래폼

기능검증 관련 상당한 기술

수준 확보

표준을 기반으로하는 구현

기술이므로 한국도 상당히

근접

표준을 기반으로하는 구현

기술이므로 한국도 상당히

근접

표준을 기반으로하는 구현

기술이므로 한국도 상당히

근접

한국의 경우 다양한 인터넷

기반 미디어 서비스에 DRM

을 채택 상용화 하고 있으므

로 거의 등한 수준임

미국의Synopsys 중심으로

ESL표준화및CAD 툴개발

Motolora, SA, NDS,

NagraVision을중심으로 암,

복호화 최적화연구가활발함

Embedded Linux, WinCE

등의 제품들이 상용화

응용

시스템

설계

SoC

설계

방법론

기술경쟁력 현황

기술격차 상 적(년) 수준(%)

최고기술보유국

기술요소기술명

기술성숙도 기술단계파급효과

역 (1-5) (기초,응용,개발)

2

2

2

2

2

2

4

4

4

4

4

2

2

4

기초

기초

기초

기초

응용

응용

응용

응용

응용

응용

응용

기초

기초

응용

Multi Secure

Micro

다중 플랫폼

Security

처리기술

Secure

Download

다중 플랫폼

Key

Management

Security

Server와의

통합기술

Home MediaNetworkModule 연동 기술

사업자 플랫폼기반 Security

기능검증

암호 알고리즘

인증 알고리즘

CAS

DRM

SystemC

기반 설계

암, 복호화

최적화

조립식 OS

전적으로 외산의존적이던

Security 핵심 기반 기술을

확보하고, Security 기업에

종속적이던 미디어 시장의

경쟁력 확보

전적으로 외산의존적이던

Security 핵심 기반 기술을

확보하고, Security 기업에

종속적이던 미디어 시장의

경쟁력 확보

전적으로 외산의존적이던

Security 핵심 기반 기술을

확보하고, Security 기업에

종속적이던 미디어 시장의

경쟁력 확보

전적으로 외산의존적이던

Security 핵심 기반 기술을

확보하고, Security 기업에

종속적이던 미디어 시장의

경쟁력 확보

전적으로 외산의존적이던

Security 핵심 기반 기술을

확보하고, Security 기업에

종속적이던 미디어 시장의

경쟁력 확보

멀티미디어 서비스 및

시스템을 선도할 수 있음

방통 융합 시장의 선도

방통 융합 Security 기반기술

확보

방통 융합 Security 기반기술

확보

방통 융합 Security 기반기술

확보

방통 융합 Security 기반기술

확보

SoC의 TTM과 성능/전력의

솔루션을 제공할 수 있음

멀티 Security 시스템 운 의

핵심기술임

멀티 코어 운 에 필수적임

멀티코어

플랫폼

응용

시스템

설계

SoC

설계

방법론

Page 22: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

24 IT SoC Magazine

사. 기술로드맵(종합)

[ 참고 문헌 ]

[ 1 ] “모바일컨버전스의 확산과 응”삼성경제연구소, CEO Information 2005

[ 2 ] 니콜라스네그로폰테 외“세계 디지털리더들이 말하는 제3의 디지털혁명 컨버전스의

최전선”, 미래M&B출판사, 2004

[ 3 ] 장재득, “융합 휴 단말 기술현황 및 표준화 동향”, ETRI기술동향, 2004

[ 4 ] “IPTV 특허 동향”ITFIND 주간기술동향 1235권호 2006.3

H.325 : ITU-T의 표준화 작업의 일환으로 진행되는 제3세 멀티미디

어 시스템 표준화로, 차세 네트워크(NGN) 환경에 적합한 멀

티미디어 시스템으로서 H.325는 복잡한 capability exchange,

빈약한 에러처리 및 고장허용 능력, UNI와 NNI의 불명확한 분

리, 프로토콜 규격의 한계들을 극복하는 새로운 멀티미디어 시

스템 표준화 작업이다.

LASeR : 공식적으로 ISO/IEC 14496-20 (MPEG-4 Part 20)으로 알

려져 있는 LASeR(레이저, Light Application Scene

Representation)는 모바일, 임베디드 그리고 가전 산업을 목

표로 만들어진 새로운 리치 미디어 표준이다. LASeR는 오디

오, 비디오, 텍스트 그리고 그래픽을 포함하는 풍부한 콘텐츠

를 제한된 네트워크, 디바이스 환경에서 사용 가능하도록 만들

어 준다.

NGN : NGN(Next Generation Network)은 전화망(PSTN)∙인터넷∙

ATM∙FR∙전용망∙무선망 등의 서로 다른 망을 하나의 공통된

망으로 구조를 단순화해 음성과 데이터를 통합한 다양한 멀티미

디어 서비스를 통합적으로 제공할 수 있는 차세 통신 네트워

크를 말한다.

VCTR : 기존 MPEG-1/2/4/AVC의 동 상 부포화/복호화기의 처리과

정을 기능별로 구분하여 FU(functional unit)라 명명하고, 각

부호화. 복호화기에서 다수의 FU를 추출하여 한 저장소에 모아

둔 것을 의미한다. VCTR는 필요에 따라 사용자 혹은 사업자가

다수의 FU을 묶어 새로운 하나의 비디오 부호화/복호화기를

만들어 내는 것이 가능하다.

DRM : ‘Digital Rights Management’의 약자로 디지털 저작권 관리를

의미한다. 콘텐츠 제공자의 권리와 이익을 안전하게 보호하며 불

법복제를 막고 사용료 부과와 결제 행 등 콘텐츠의 생성에서

유통∙관리까지를 일괄적으로 지원하는 기술이다.

구분 2006 2007 2008 2009 2010 2011 2012

�방통 융합단말

기용 Security

모듈 (IPTV, 홈

서버)

� IPTV, 홈서버

등 방통 융합

단말에 적용

� Stealing,

Copy

Protection

� DMB

Network I/F

� Multi-CAS

Download

(외산 상용 CAS)

� Multi-CAS

Key Man-

agement

(외산 상용 CAS)

� 방통 융합

Security

Server 기능

모듈 구현

(외산 CAS

연동 Server)

� 다중Security

지원을 위한

모듈방식 암,

복호화 기능

구현

서비스 및 제품

(Market

needs)

� 방송 단말기용

Security 모듈

(케이블)

� 기존의 독립형

Security 모듈이

통합, 다운로드

기능 Security

모듈로 변경

� 방송 시스템에

적용

� CAS, AES with

Ciper Text

� D-Cable

Network I/F

� Multi-CAS

Download

(국산 CAS)

� Multi-CAS Key

Management

(국산 CAS)

� 방통 융합

Security Server

기능모듈 구현

(국내 CAS

연동 Server)

� 다중 Security

지원을 위한

모듈방식 암, 복

호화 기능구현

�이동 방송 단말기용

Security 모듈 (DMB,

WiBro)

�고품질 통방융합 유비쿼

터스 서비스

� DMB, WiBro, 휴 인터

넷 등의 미디어 플랫폼

에 적용

� DES-ECB, DES-CBCr

� WiMax, Portable

Device I/F

� Multi-DRM/ASD

Download

� Multi-DRM/ASD

Key Management

� 멀티 플랫폼 Security

Server 기능모듈 구현

(Fixed, Mobile)

� 다중 Security 암, 복호

화 모듈 성능의 최적화

기술발전 전망

기술확보 계획

약어정리

Page 23: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

IIC China 2007을 가다

Hot IssueHot Issue

중국 선전에서 지난 3월 5일~6일까지 양일간 IIC(International IC) China 2007이 개최되었다. 올해로 12회를

맞은 본 전시회는 세계 최 규모 IC 전문전시회로 인텔, 삼성전자, 텍사스인스트루먼트, ST마이크로,

프리스케일, 르네사스 등의 로벌 기업을 비롯해 50여 개 국의 200개 업체가 참가하여 전시장을 찾은 중국

바이어에게최신제품을선보 다.

임인 IT-SoC협회 마케팅지원팀 리 ([email protected])

Hot Issue 25

Page 24: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

26 IT SoC Magazine

모바일TV 관련솔루션이 세

이번 전시회에는 모바일 TV, 내비게이션, 블루투스 부문의

신기술과솔루션들이 거전시되었다. 텍사스인스트루먼트는중국

TD-SCDMA 표준에 맞춘 모바일 TV 솔루션을 소개했으며,

ST마이크로와 프리스케일도 모바일 TV 솔루션 등 이동통신 관련

제품을 전시했다. NXP세미컨덕터는 디지털 거실 구현을 위한

디지털TV, PC, STB, 디지털미디어어댑터솔루션을전시하 다. 한편,

르네사스, ATMel, 실리콘랩은 차세 스마트 차량 관련 솔루션을

전시하여참관객들의관심을끌었다.

한국관, 방문객들로문정성시

IT-SoC협회는 해외 유관기관∙기업과 협력 네트워크를 구축

하여국내SoC기업의해외마케팅

활동을 지원하는 한편 지난 2005

년부터 IIC China 전시회 참가를

지원함으로써 국내 SoC기업의 중

국 진출을 적극 지원하고 있다. 이

번한국관에는14개국내SoC기업

이 참가하여 휴 폰용 멀티미디어

SoC, DMB SoC, 무선통신SoC 등

을 전시했다. 한국관은 개막 당일

부터참관객의방문이끊이지않을

정도로 문정성시를 이뤘으며 준비

해간 제품설명서가 동이 날 정도로 큰 인기를 누렸다. 전시회에 참

가한 레이디오펄스 엄효섭 차장은“IIC China는 반도체에 특화된

규모전문전시회여서중국내어느전시회보다다양한바이어들

을만날수있었다.”며전시회에 한만족감을표시했다.

전시회성과와참가업체반응

국내 참가업체들은 이번 전시회 기간 동안 상담 1,340건,

수출상담액 3,750만 달러의 성과를 올렸으며, 네오와인, 넥실리온,

셀로코, 쓰리에이로직스, 애트랩, 엠씨에스로직 등은 285만 달러

규모의 계약을 체결하 다. 시스템 복제방지칩을 생산하는

네오와인은 중국 휴 폰제조업체 E사와 월 30만개 수출 계약을

체결하 으며, 모바일 TV 멀티미디어칩을 생산하는 넥실리온은

중국 휴 폰제조업체 S사/T사, 차량용내비게이션 제조업체 T사와

수출 상담을 지속하고 있다. 전시회 폐막 후 14개 참가업체를

상으로 설문조사를

진행한 결과 총 14개 업체

중 12개 업체가 내년도

행사에도 다시 참가하고

싶다는 의사를 밝혔다.

참가업체들은 전시회의

인지도와 전문성 면에서 큰

만족도를 나타냈으며,

IT-SoC협회의행사진행에 해서도만족도가높았다.

한국관둘러보기

네오와인www.neowine.co.kr

2002년에 설립된 네오와인은 복제방지 반도체/기술 개발회사

로 이번 전시회에는 시스템 복제 방지 반도체 ALPU를 전시했다.

이제품은시스템복제방지장치로외주양산업체를이용하여제품

을생산할경우이칩을내장하여생산하게하고이칩이없을경우

동작이 불가능하게 함으로써 복제를 방지한다. 2005년에 이어 두

번째참가한네오와인은전시회기간내내참관객의 발길이끊이지

않아준비한제품설명서를모두소진하 다.

전시회에참가한김민태이사는“이번전시회에서당사제품에

한바이어의호응도가매우높았으며, 향후매출이획기적으로성

장할 수 있을 것으로 기 하고 있다.”고 하면서“한가지 아쉬웠던

점은본전시회는부품업체가참여할수있는중국유일의전문전

시회인데도 정부의 지원을 전혀 받지

못하고 업체와 협회 자력만으로 참가

하여예산조달면에서큰어려움을겪

었다. 향후정부의적극적인지원을받

아참가한다면더큰성과를기 할수

있을것이다.”라며아쉬움을표했다.

네오와인은 이미 중국 4개 회사와 리점 업 계약을 맺고

활발한 업활동을전개하고있다. 그결과이번IIC China 참여시

중국 휴 폰제조업체 E사와 월간 30만 개의 수출 계약을

체결하 다.

넥실리온www.nexilion.com

2001년 설립된 넥실리온은 모바일 TV 솔루션 SoC (T-DMB,

DAB, DVB-H)를 개발∙공급하는 회사로 이번 전시회에 T-DMB

레이디오펄스 부스전경

씨앤에스테크놀로지 부스전경

<그림 1> 복제방지반도체-ALPU

Page 25: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Hot Issue 27

Hot Issue

baseband 및A/V Decoder, SDRAM 통합칩인NX 3300 Series와

DAB baseband 및 Audio, SDRAM 통합 칩인 NX 3100을

전시하 다. 특히NX3300은지난‘2006년IT SoC 상’을수상한

제품으로기술력과시장성을인정받은제품이다.

중국은2008년북경올림픽을앞두고있어모바일TV가화두가

되고 있다. 이러한 분위기를 반 하듯 이번 전시회에서 모바일

TV관련 솔루션이 거 출품되었고 넥실리온 제품에 해 중국

시스템업체와 유통업체의

관심이 단했다.

현재넥실리온은 전시회

에서만난주요업체와긴 하

게 상담을 진행하고 있으며

머지않아실질적인수출성과

가 있을 것으로 기 하고 있

다. 전시회에 참가했던 홍장

원팀장은“현재북경과광동지역을중심으로마케팅활동을진행하

고 있다. 협회의 도움으로 이번 전시회에 참가하여 광동 지역에서

많은신규고객을발굴할수있었다.”며고마움을표시했다.

레이디오펄스www.radiopulse.co.kr

2003년설립된레이디오펄스는무선통신용반도체설계전문기

업으로 이번 전시회에는 ZigBee 싱 칩 솔루션인‘망고’제품군 2

종(MG2400-F48, MG2450-B72)과ZigBee 모듈인‘라임’제품군3

종 (LM2400-C/R/S) ZigBee 개발툴인 MANGO-ZDK 등을 전시

했다. 전시장에서는 중국 RF/무선 관련업체 관계자들이 레이디오

펄스부스를 거방문해ZigBee 기술로구현된각종애플리케이션

과데모시연을살펴보며장시간동안부스에머무르면서담당자들

과 기술상담을 진행하 다. 전시회에 참가했던 엄효섭 차장은“처

음참여하는중국전시회라걱정이많았는데IT-SoC협회의지원과

협조로 순조롭게 행사를 마칠 수 있었다. 향후에는 보다 치 한 사

전준비와 홍보를 통

해 더 내실 있는 성

과를 얻을 수 있도

록 하겠다.”며 전시

회 참가 소감을 밝

혔다.

앞으로레이디오

펄스는 신속한 고객

응을위해기존중국 리점들과협력을강화하고, 중국시장담당부서

를신설할예정이다. 또한중국에서열리는IC 전문전시회에지속적으로

참가하여중국시장에제품을홍보하고중국내고객사와협력사들을

상으로제품설명회와기술세미나를개최할계획이다.

매직아이www.mesdigital.com

1997년 설립된 매직아이는 휴 용 멀티미디어 SoC와 관련

솔루션을 설계∙공급하는 회사로 이번 전시회에는 올해 초에

출시한 신제품 MMSP2+를 전시하 다. MMSP2+는 고성능과

다기능의 DMB 내비게이션 개발에 최적화된 칩솔루션으로

내비게이션에필요한DMB, PMP, PIP, 3D 맵등을 지원한다. 또한

개발기간을 최소화할 수 있도록 MMSP2+ 내비게이션 개발킷도

제공하고있다.

매직아이제품을참관한중국내비게이션생산업체들은매직아

이의 MMSP2+가 치열한 경쟁 시장에서도 부각될 수 있을 만큼의

차별성를 가진 CPU라고 평가하 으며, 중국 디스트리뷰터나 디자

인하우스들도많은관심을기울 다. 전시회에참가했던임성준책

임연구원은“이번 전시회

참가는 이제 중국 시장 진

출을준비하고있는당사에

게 중국 시장의 성향을 파

악할 수 있는 좋은 기회가

됐다. 앞으로도 중국 진출

을 위해 노력하는 많은 중

소업체들에게정부와협회

의많은지원이있었으면좋겠다.”고간단한참가소감을밝혔다. 현

재매직아이는현지 리점을통해중국마케팅활동을펼치고있으

며, 앞으로본사기술인력을중국/ 만현지에파견하여좀더적극

적인기술지원서비스를실시할계획이다.

셀로코www.seloco.com

올해로 3회째 참가한 셀로코는 이번 전시회에 EDA Tool인

MyCAD와 PC 카메라를 위한 Single Chip 솔루션인

UbiCam1000의보드레벨Kit을출품하 다.

MyCAD는PC Windows 환경에서사용가능한EDA Tool로써

기존 EDA 제품에 비해 가격이 절반 이하이며 다양한 EDA

Solution이제공가능한패키지프로그램이다.

전시회에 참가한 최하나씨는“심천에 전자/반도체 관련

<그림 4> 멀티미디어SoC-MMSP2+

<그림 3> ZigBee 싱 칩 솔루션-MG2400 F48 / ZigBee 모듈-LM2400

<그림 2> 지상파 DMB베이스밴드+A/V디코더 통합 칩 NX3300

Page 26: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

28 IT SoC Magazine

회사들이 많아서 고객 확보에 큰 도움이 되었고 중국 IT업계의

동향과 중국 업체들의 요구사항 및 사업 전략 등을 눈으로 직접

확인하고 향후 중국진출에 한 구체적인 계획을 세울 수 있는

계기가 되었다.”며 전시회 참가소감을 밝혔다. 셀로코는 이미 중국

연길시에 중국지사인 SELOCHIN을 설립하여 중국 업을

진행하고 있으며, 중국현지인들이 쉽게 접근할 수 있도록 중국

사이트를 개설하여 MyCAD tools의 평가판 다운로드를 제공하는

등중국시장진출에박차를가하고있다.

쓰리에이로직스www.3alogics.com

2004년 설립된 쓰리에이로직스는 이번 전시회에서 주력제품인

13.56MHz RFID 리더칩을 여러 RFID 솔루션 개발업체들에게

선보 다. 이 제품은 기존 전량 수입에 의존하던 RFID 리더칩을

국산화한 것으로 멀티프로토콜 및 저소비 전력 등의 면에서

경쟁력을확보하 다.

전시회에 참가한 성동진 차장은“당사 제품에 한 중국

바이어의 반응이 상당히 좋았다. 그리고 전문전시회로는 규모가

매우 크고 다수의 방문 인원이

전문 엔지니어여서 이 전시회를

통해 더 많은 잠재 고객을 발굴 할

수 있었다.”고 전시회 참가소감을

밝혔다. 현재 쓰리에이로직스는

현재 중국에서 현지 파트너들과

업을 추진하고 있으며, IC

분야는 단기적인 목표보다는

장기적인 계획을 가지고 제품 이미지를 제고하고 몇몇 주요

거래선을공략하여점차시장을늘려나갈계획이라고밝혔다.

씨앤에스테크놀로지www.cnstec.com

작년에이어두번째로IIC China 에참가한씨앤에스테크놀로

지는 이번 전시회에 DMB 전용 멀티미디어칩 넵튠/트라이톤과

VOIP 전용칩및솔루션을전시하 다. 특히트라이톤은T-DMB,

DVB-H 등 모바일 TV와 MPEG4 기반의 멀티미디어 기능을 장착

하는모든디지털기기에적용할수있는멀티미디어반도체이다.

전시회에 참가한 김혜진 주재원은“당사 DMB 솔루션에 한

중국바이어의관심이 단했다. 특히내비게이션업체들이DMB기

능에관심을많이보 으며 다수의업체들이내비게이션에DMB

기능을접목하는것에 해문의를하 다.”고말했다. 씨앤에스테

크놀로지는앞으로중국전지역을 상으로하는전문잡지에광고를게

재하면서전시회에지속적으로참가하는등더욱공격적인마케팅활동으

로중국시장을공략할예정이다.

에이디칩스www.adc.co.kr

1996년에 설립된 에이디칩스는 독자적으로 개발한 EISC CPU

구조를가지고, 산업용IC 및멀티미디어칩등을전문적으로개발,

공급하는 비메모리 반도체 설계 전문기업으로 이번 전시회에 그래

픽콘트롤러칩인GMX1000 및기타범용SoC등을출품하 다. 이

제품은32bit EISC CPU코어및2.5D 그래픽엔진을내장한컨트롤

러로써, 카메라, DVD, DMB, 내비게이션등의 상과메모리에내

장되어있는GUI 이미지를오버레이하는마이크형가라오케, 차량

용인 쉬올인원멀티미디어시스템, 홈네트워크도어폰/월패드등

에적용할수있다. 정재우차장은“중국바이어들이당사제품을내

장해양산중인마이크형가라오케및차량용인 쉬올인원멀티미

디어시스템등에많은관심을보 으며, 다수의중국아케이드게임

업체들도게임시장에적용할수있는이비디오/그래픽콘트롤러에

<그림 5> MyCAD

<그림 6> PC 카메라Single Chip 솔루션- UbiCam1000

<그림 7> RFID리더칩 - TRH031M

<그림 8> DMB멀티미디어칩-TRITON <그림 9> VOIP전용칩-JUPITER

Page 27: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Hot Issue 29

Hot IssueHot Issue

해 많은 관심을 보 다.”고 하면서

“이번전시회를통해중국부품업체들

의품질과가격경쟁력이상당히뛰어

나다는 것을 확인할 수 있었고 이를

견제하기 위한 국내 부품업체들의 노

력이 절실히 필요하다는 것을 느꼈

다.”고전시회참가소감을밝혔다.

에이디칩스는 2006년 2월 중국현지법인인‘심수전자’를

설립하여중국시장공략에박차를가하는한편내 리점들을통해

업활동을전개하고있다.

엠씨에스로직www.mcslogic.com

올해로3회째참가한엠씨에스로직은카오디오, 블루투스, VoIP 관련

디코딩 칩인 MLC3890/359X와 DMB, 비디오/오디오 디코딩칩인

MLC5000을출품하 다. 전시회 기간 동안 엠씨에스로직 부스에는

중국 카오디오 및 멀티미디어 모바일, 블루투스 등 관련 업계

바이어의 방문이 끊임없이 이어져 엠씨에스로직 제품에 한 중국

바이어의관심을알수있었다.

전시회에 참가했던 이경환 차장은“IIC China는 반도체

전문전시회로당사뿐만아니라국내SoC업체가참가하여새로운

비즈니스를창출할가능성이많은전시회이다. 앞으로 본전시회에

한 정부 지원이 확 되기를 바란다.”며 전시회 참가 소감을

밝혔다. 엠씨에스로직은 지난 2005년 8월 중국 북경에 지사,

2006년 2월 심천에 R&D 사무소를 설립하여 활발한 업활동을

펼치고있다.

애트랩www.atlab.co.kr

지난2006년에이어두번째본전시회에참가한애트랩은광내

비게이션센서와 모듈이 장착된 초박형 마우스와 지그비 무선 마우

스, 디지털터치센서가 장착된 휴 폰, MP3, 내비게이션 등을 전시

하 다. 참관객들은일반적인마우스와달리여러가지기능을가지

고 있는 애트랩 제품에 큰 관심을 가졌다. 디지털터치센서의 경우

최근이슈가되고있는솔루션임을반 하듯방문객의반응도매우

좋았다. 애트랩부스는제품자료를요청하는방문객들로전시회기

간 내내 북새통을

이뤘다. 전시회에

참가한 오혜경 이

사는“한국관으로

공동 참가하는 것

은 단독 참가 때보

다 여러모로 전시

효과가 크다. 또한 중국인들이 한국 기술에 한 관심도가 높기 때

문에방문객의이목을끌어많은방문객들이다녀갔다. 내년에는정

부의지원을받아서좀더큰규모로참가하여더큰성과를냈으면

좋겠다.”고간략한참가소감을밝혔다.

애트랩은중국시장진출을위해지난2005년중국심천에지사

를설립하 으며앞으로현지지사와중국내 리점을활용하여

중국수출을늘려갈계획이다.

에프씨아이www.fci.co.kr

1998년설립된에프씨아이는RFIC전문기업으로이번전시회에

지상파DMB용Tuner IC 및적용단말기와위성DMB / S-TiMi용

Tuner IC 및 적용 단말기를 전시하 다. 표 제품인 지상파

DMB/DAB Tuner IC(FC2501)는저잡음, 저전력, 소형화를통해기

존의아날로그TV수신기에비하여요구되는신호 잡음비가훨

씬 낮아 미약한 신호도 감지해 처리할 수 있으며 Band-II 역,

Band-III 역, L-Band 역 등 여러 주파수 역을 지원할 수 있

다. 전시회 양일간 에프씨아이

부스에는MP3, Phone, 가전업

체 관계자들의 방문이 줄을 이

었으며, 상용화에성공한DMB

기술에 많은 관심을 표현했다.

특히 에프씨아이가 중국 광전

총국에서 추진하고 있는 표준

인 S-TiMi 기술에 기발한 RF

Chipset을 최초로 개발했다는

것에큰관심을가졌고관련업

체의합작제의가쇄도하 다.

<그림 11> 디지털오디오칩- MLC3890

<그림 12> 비디오/오디오디코딩칩 - MLC5000

<그림 13> 디저털터치센서-ATA2502

<그림 14> 지상파 DMB RF Tuner IC - FC2501

<그림 10> 그래픽 콘트롤러칩- GMX1000

<그림 15> S-TiMi TUNER - FC2801 EVB

Page 28: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

30 IT SoC Magazine

에프씨아이는중국시장진출을위해지난2006년북경에현지

법인을설립했으며현재현지법인을통하여중국의 휴 방송서비

스관련업체에RF Tuner 마케팅을진행하고있다.

피앤피네트워크www.pnpnetwork.com

2000년에설립된피앤피네트워크는디지털방송수신용비메모

리 디코더 칩 등을 설계∙공급하는 회사로 이번 전시회에 T-

DMB/DAB를위한RF & Baseband 통합칩을전시하 다. 올해처

음으로IIC China 전시회에참가한피앤피네트워크는중국바이어

에게당사제품을홍보하고자전시회에참가했다고밝혔다. 전시회

에참가한피앤피네트워크의고용중주임연구원은“중국은아직이

동방송 규격이 정해지지 않아 관련 업체 담당자들이 T-DMB 제품

보다는방송이확정적인DAB 제품에더많은관심을보 다.”면서

당분간중국에서는DAB+MP3 형태의제품이주를이룰것같다고

말했다. 피앤피네트워크는 중국 진출을 위해 지난 2004년 중국 심

천지사를개설했으며서서히중국내판매 역을넓혀가고있다. 현

재는상해SIMCOM과Longcheer등중국휴 폰업체에서피앤피

네크워크의 솔루션을

채 용 한 T-DMB

Phone 개발이 완료

되었으며, ISDB-T,

플랫폼 개발을 위한

최상의 솔루션도 준

비 완료되어 심천뿐

만아니라북경및상

해에서도적극적인마케팅을펼칠계획이라고밝혔다.

펄서스테크놀러지www.pulsus.co.kr

1999년에설립된펄서스테크놀러지는오디오및멀티미디어신

호처리용칩개발∙공급회사로세계최초로디지털앰프의핵심반

도체인 DDC(Digital-to-Digital Converter) 칩을 개발, 상용화에

성공하 다. 펄서스는이번전시회에엘지/삼성등의DVD-R에채

택된홈시어터내의신호처리칩인DDC와휴 폰/휴 기기에적용

가능한 800mW급 앰프 제품을 출품하 다. 전시장에서 펄서스 제

품에 한중국바이어들의반응은가히폭발적이었으며가로세로

5mm의작은칩이 형스피커를구동시키는것을보고매우신기

해했다. 지금까지의아날로그앰프는디지털신호를다시아날로그

로바꿔증폭을하는과정에서신호의손실이나잡음이유입되는등

의문제점이있었는데펄서스의Full Digital 앰프의경우디지털신

호를 그 로 받아 증폭을 하기 때문에 원음을 그 로 재생시킬 수

있다. 전시회에참가한윤상태심천지사장은“IT-SoC협회의도움

으로 좋은 자리를 잡을 수 있었고, 부스디자인도 아름다워 많은 참

관객의이목을집중시킬수있어단독

참가 때보다 훨씬 큰 성과를 이룰 수

있었다. 내년에도꼭다시참가하고싶

다.”며 간략한 소감을 밝혔다. 펄서스

는 앞으로도 심천지사를 중심으로

업을 지속함과 동시에 관련 전시회에

적극참가하고중국내전문잡지에광

고를 게재함으로써 더욱 공격적인 마

케팅활동을펼칠예정이다.

피델릭스www.fidelix.co.kr

1990년에설립된피델릭스는모바일용메모리개발∙공급회사로이번

전시회에는 Async PSRAM, Burst PSRAM, A/D Mux PSRAM, LP

SDRAM & LP DDR SDRAM를전시하 다. PSRAM은DRAM 셀구조를

가진SRAM으로SRAM에비해단가가저렴하고 용량저장과빠른속도

를구현할수있는장점이있다. 전시회에참가했던박지혜씨는“회사창립

이래처음참가하는해외전시회 다. 이번전시회참가로제품과회사를

중국시장에홍보할수있었으며더불어제품시장과동향을파악할수있

는좋은계기가되었다. 당사부스를방문한참관객들은DRAM에 해서

는잘알고있었으나당사의PSRAM에 해서는생소하게받아들 다. 그

러나저렴한단가와뛰어난기능에많은관심을표현했다.”고전시회참가

소감을밝혔다. 피델릭스는지난2006년중국시장진출을위해홍콩에지

사를설립하 으며현재홍콩지사와 리점을통해적극적인 업활동을

펼치고있다.

<그림 16> T-DMB Baseband+RF통합칩- PNM3030 / ISDB-T Baseband

+RF통합칩-PNM3031E

<그림 17> 모바일용 디지털앰프칩 - PSM711

<그림 18> Low Power SDRAM <그림 19> Async PSRAM

Page 29: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Information Technology System on Chip

magazine

Design Methodology :GPS 수신기 설계 기술 및 동향

Industry Trend :내비게이션 시장 및 제품 동향

기업소개:팅크웨어, 시터스, 매직아이

Focus on:Navigation

Page 30: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

32 IT SoC Magazine

GPS 수신기 설계 기술 및 동향한진희 (주)텔에이스 부사장([email protected])

DesignMethodology

I. GPS 개념 소개

Global Positioning System(GPS)은 미 국방성 (US Department of

Defense) 에서개발하여운용중인인공위성으로부터수신한Radio 신호를

이용하여 지구상, 또는 주변의 정지 및 운동하고 있는 물체의 정확한 위치

와시간을결정하는시스템이다.

GPS 시스템은 고도 약 2만 km, 주기 약 12시간, 궤도 경사각 55도인

여섯개의원궤도(거의원괘도e=0.02) 에각각4개씩발사된도합24개의

항행위성과위성을관리하는지상제어국, 이용자의이동국으로구성된다.

각위성에는 원자시계가 탑재되어 있다. 인공위성은 일종의 방송시스템과

같이연속적으로신호를송신하며항상4개의인공위성이지구상어느지점

에서도 보일 수 있도록 디자인 ( 부분의 경우 5-7개의 위성이 보임) 되어

있다.

GPS는인공위성을이용한범지구적위치결정시스템으로정확한위

치를알고있는위성에서발사한전파를수신하여관측점까지소요시간을

계산함으로써관측점까지의위치를구하는time navigation system 이다.

관측점의위치좌표(X, Y, Z)는원칙적으로3개의위성에서발신된전파를

수신함으로써얻어질수있으나이경우는위성의시계와관측점의시계가

정확하게 일치하여야만 한다. 그러나현실적으로 위성상에탑재되어 있는

고가의 원자시계를 관측 장비에 채용할 수 없으므로, 4개의 위성을 이용하

여 위성간의 시간 편위를 미지수로 처리하여 4개의 연립 방정식을 풀어서

좌표를결정하는방식이일반적이다. GPS는관측점의좌표(X, Y, Z)와시

각t의4차원좌표결정방식이므로 비행기, 배, 자동차등의고속운동체의

위치관측은물론Doppler 효과에따른속도관측에도유효하다.

II. GPS신호

GPS위성은 하나의 내비게이션 메시지를 두 개의 코드와 두 개의 반

송주파수로 구성되는 전파를 송출된다. 두 개의 반송주파수는 각각

1575.42MHz의 L1 반송파와 1.227.60MHz의 L2 반송파이며, L1반송파

에는 C/A(Coarse Acquisition)코드와 P(Precision)코드로 역 확산된

신호가 동시 송출되며 L2반송파로는 P(Precision)코드만을 이용하여

역 확산된 신호가 송출된다<그림 1>. 일반 사용자들의 경우 C/A 코드만

을 사용할 수 있으며, 본고에서는 C/A코드로 L1반송파로 송출되는 신호

만을고려하기로한다.

해당전송신호를수식으로표현하면

와 같이 표현할 수 있는데, 여기서 S는 신호의 power를, C/A(t)는 C/A

코드를, w와 q는 각각 L1 반송파의 주파수와 위상을 의미한다. 또한, d(t)

는 내비게이션 데이터를 의미하는데, 내비게이션 데이터는 기본적으로

50bps (20ms/bit) 의속도를갖으며, 이의데이터구조는<그림2>와같다.

C/A코드로서는 골드코드가 사용이 되며 이에 적용되는 preferred

pair 코드로서는그 생성 다항식이 각각 G1 : 1+ χ3 + χ10 , G2 : 1+ χ2 +

χ3 +χ6 + χ8 +χ9 + χ10 이며 이를 이용한 코드생성 구조는 <그림 3>과

같다. 위성에 따라서 독립적인 코드를 사용하게 되고, 이의 종류는 총 32

가지가 되며, 그림에서의 phase selector의 조합에 따라 구분된다. C/A

코드 시퀀스의 길이는 각각 1,023 비트이며, 1.023Mbps의 칩 속도로

역확산된다.

Ⅲ. GPS Link Budget 및 수신 신호 처리

위성과수신기간의Link Budget을분석하면 <표1>과같이정리할수

있다.

<그림 1> GPS 신호 주파수 스펙트럼

Telemetry�word(TLM)30�bits0.6s

Handover�word(HOW)30�bits0.6s

Subpage300Bits

6s TLM

HOW

Sub-frame�1 Sub-frame�2 Sub-frame�3 Sub-frame�4 Sub-frame�5

Word�No.

Word�content

Frame(Page)

1500�bits30s

Navigationmessage

25�pages/frames37500�bits12.5min

8Bits

pre-amble reserved pa-

rity

16Bits� 6Bits 17Bits

Time�of�Week(TOW)

div.,ID

pa-rity

7Bits�6Bits

1��2��3��4��5��6��7��8��9��10�11��12�13�14�15�16��17�18��19�20�21�22�23�24�25�

1��2��3��4��5��6��7��8��9��10

Data

TLM

HOW

1��2��3��4��5��6���7��8��9��10

Satellite�clockand�health�data T

LM

HOW

1��2��3��4��5��6���7��8��9��10

Partial�almanacother�dataT

LM

HOW

1��2��3��4��5��6���7��8��9��10

Ephemeris

TLM

HOW

1��2��3��4��5��6���7��8��9��10

Ephemeris

TLM

HOW

1��2��3��4��5��6���7��8��9��10

Almanac

<그림 2> GPS 항법 데이타 포맷

Page 31: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

즉, 지상에서 수신기 입력단에서의 전력은 -130dBm이 되는데 이는

수신기 열잡음(-111dBm) 전력보다 낮은 값으로, 수신기에서는 수신된

역확산 신호를 역확산을 하여 원래의 송출 데이터를 복원하도록

구성해야 한다. 그런데, 실제 위성 수신기의 수신환경은 열린 공간 뿐만

아니라, 반사, 회절, 투과 등의 환경으로 수신신호가 여러 가지

환경에서는 이보다 더 낮은 경우가 발생하며, 수신기의 경우 얼마나 낮은

신호까지 신호의 획득 및 이의 유지가 가능한가 하는 Acquisition

Sensitivity, Tracking Sensitivity가 중요한 성능의 척도가 된다. 두

종류의 감도는 수신 칩에 따라 그 값이 다르나 최근 출시되는 칩의 경우

최 성능이 Acquisition Sensitivity는 -142dBm정도, Tracking

Sensitivity는-160dBm 정도에이른다.

수신기에서 역 역확산에 의한 수신신호처리에 따른 성능은 비트

오율로서 측정을 하게 되는데, 기준 비트 오율을 10-5로 잡고 비트오율이

이 이하가 되기 위해서는 GPS신호에 적용된 BPSK변조방식을 사용하는

경우 역 역확산 후의 Eb/No가 AWGN 환경 기준으로 9.5dB 이상이

되어야 한다. Link Budget상 수신단에서의 전력이 -130dBm이므로

열잡음 -111dBm과의 비율로서 신호 잡음비는 -29dB인데,

수신기에서의 일반적인 Noise Figure를 4dB정도로 본다면 이를

9.5dB까지 역 역확산으로 신호 잡음비를 올리기 위해서는

9.5-(-29)+4 = 42.5dB 의 역 역확산이득이 필요하다. 이를

환산해보면 1ms당 1,023비트의 역확산 신호로 구성되어 있으므로

1ms 누적에 따라서는 30dB의 이득이 있고, 데이터율인 50bps를

고려하여 43dB의 역 역확산이득을 도출할 수 있으며, 따라서 상기

기준으로 잡은 이상적인 비트오율을 10-5의 성능을 보일 수 있다는 것을

알 수 있다. 위에서도 언급하 듯이 실제의 환경에서는 -130dB이하인

-142dBm 정도의 신호레벨에서도 신호의 획득, 그리고 -160dBm에서

신호의 추적이 가능해야 하므로 신호획득을 위해서는 약 300ms 정도의

신호를 누적해야 하고, 신호의 추적은 유효하게 약 3초 정도의 신호를

누적한 성능이 나와야 한다는 의미로 해석할 수 있다. 신호의 획득이나

추적을 위해서는 위에서 언급한 비트 오율 10-5의 성능기준이 적절하지

않다. 이를 10-2 또는 10-1 의 경우로 바꾸어 고려하면 신호 잡음비

기준이 9.5dB에서 각각 약 4dB, 0dB정도로 낮아질 수 있으며,

신호획득을 위해서는 각각 약 85ms와 34ms, 신호 추적을 위해서는

각각 유효하게 약 845ms와 334ms 정도의 누적성능이 나와야 한다.

이상에서 분석한 바와 같이 GPS수신기에서의 성능은 얼마나 신호를

누적한성능을도출할수있도록구성하느냐가그성능의관건이된다.

실제 GPS수신기의 성능을 비교할 때, Acquisition Sensitivity,

Tracking Sensitivity뿐 아니라 중요한 성능척도로서 비교하는 것이

TTFF(Time To First Fix) 인데, 이는 <그림 4>에서와 같이 정의하며

수신기에서 원하는 감도를 일정시간 내에 만족할 수 있어야 의미 있는

수신기로서평가/시용될수있다고하겠다.

일반적으로 GPS 수신기 구조는 <그림 5>와 같이 구성되며, 실제

성능의 주요 관건이 되는 내용은 위에서 기술한 바와 같이 미약한 신

호를 원하는 감도레벨에서 누적을 잘할 수 있는가에 달려있으며 이는

베이스밴드블록의 구성을 얼마나 잘 하느냐에 달려있다. GPS의 주된

응용이 위치를 추적하는 것이며, 실제로 이러한 응용을 할 때에는 베

이스밴드 블록의 구성 뿐 아니라 내비게이션 처리 블록의 구성 방법

에 따라 성능이 크게 달라지게 되며, 관련 업체별로 이 부분에 많은

고유의 알고리즘이 적용되고 있다.

Design Methodology 33

G1�Generator

G2�Generator

G1�

G2�

G21�

S1 S2

1 2 3 4 5 6 7 8 9 10

1KHz

50Hz

Phase�Selector

Gold�CodeC/A�CodeXG1�(t)

1.023MHzClock

Reset

1 2 3 4 5 6 7 8 9 10

1023Decode

÷20

<그림 3> GPS C/A 코드

<표 1> GPS Link Budget

TTFF(Time To First Fix)수신기의 전원을 인가하 을때, 최초로 위치 정보를 도출할 때까지의 시간을 정의하는용어로서, 기준에 따라서 크게 Cold/Warm/Hot Start 의 3가지 종류로 분류된다.

Cold StartGPS 수신기가 저장된 유효한 Almanac데이터를 가지고 있는 경우이다. Almanac데이터는 최소 1년동안유효하며 부분의 수신기들은 비휘발성 메모리등에 이를 저장한다. 이 경우의 TTFF는 주로 전체 Ephemeris 데이터를 받는시간에 의해 결정되며 일반적으로 약 45초정도 소요된다.

Warm Start이 경우에는 GPS 수신기가 Almanac데이터 뿐 아니라 유효한 Ephemeris데이터도 가지고 있는 경우인데, 다만, 정확한 시각정보를 가지고 있지못한 경우를 이야기하며 이경우의 일반적인 TTFF는 저장된 Ephermeris데이터의 Quality에 따라 약 7~15초 갖는다.

Hot Start이 경우는 GPS수신기가 위성의 Almanac데이터와 Ephemeris데이터와 같은 괘도정보와 유효한 시각 정보를 가지고 있는 경우를 이야기한다. 이 경우 일반적인 TTFF는 1~5초 정도 범위의 값을 갖는다.

<그림 4> TTFF의 정의

RF블록

내비게이션�처리블록베이스밴드블록

LO2LO1

외부입력(보조정보등)

내비게이션출력(위치,속도,시간)

밴드패스필터 증폭기 밴드패스필터 증폭기 A/D변환기증폭기

레퍼런스오실레이터 주파수합성기

클럭 인터럽트

디지털�IF신호

코드�Acquisition/Tracking

캐리어�Acquisition/Tracking

메시지�비트�동기

내비게이션�메시지�복조

코드/캐리어�Pseudoranging��

��

내비게이션�신호처리

밴드패스필터

<그림 5> GPS 수신기 구조 블록도

위성 송출단에서의 전력 13.4dBW(43.4dBm=21.9W)

송출안테나 이득 (지향성) +13.4dB

방사전력 EIRP 26.8dBW(56.8dBm)

편파부정합 손실 -3.4dB

자유 공간 신호 감쇄-184.4dB

(25,236km)

기에 의한 신호 감쇄 -2.0dB

수신 안테나 이득 +3.0dB

수신기 입력 전력 -160dBW(-130dBm=100x10-18W)

이득/손실 절 값

Design Methodology

Page 32: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

34 IT SoC Magazine

Ⅳ. GPS 시장 및 주요 업체 동향

최근반도체및부품업체들이GPS 시장에 거합류하고있는상황이

며ABI리서치자료에따르면2010년GPS 솔루션매출은380억달러, GPS

기기시장은3억5000만 에달할것으로전망된다. 시장이이만큼커질것

으로 예상되므로 앞으로의 업체들간의 경쟁은 더욱 치열해지고 다양한 솔

루션이나올것으로예상된다.

미국시장의 경우, FCC는 E911서비스를 의무사항으로 2005년 규정했

던 기지국 기반 솔루션(200m~5km오차)을 최근 한 단계 업그레이드해

GPS기반의S-GPS(5~50m오차)를요구하고있다. 이에따라올해초부터

판매되는휴 폰에기본적으로채용되고있다. 일본의경우, 이미다양한형

태의LBS 서비스가실행되는것에서나아가올4월부터E119을위해GPS

를휴 폰에장착하도록하고있다. 이러한움직임을바탕으로GPS수신기

업체들은휴 폰을중심으로한모바일기기를주 상으로시장을공략하

고있다.

또한하드웨어방식과소프트웨어방식간경쟁이더욱치열해질것으로

전망된다. GPS 전용칩을장착하는하드웨어방식은우수한성능을보장하

지만별도칩을사용해야하므로단말개발비용이비쌀수밖에없다. 이단

점을극복하기위해퀄컴과최근CSR과같은일부업체들은소프트웨어처

리로 GPS 구현이 가능하도록 지원하고 있다. 이러한 두 가지 접근 방법은

각각의 장단점이 뚜렷하다. 하드웨어 방식은 가격이 상 적으로 비싼 반면

성능이 우수하며 소프트웨어 방식은 상 적으로 성능이 낮게 된다. 당연히

하드웨어 방식에서는 저가의 솔루션을 위해 움직일 것이며 소프트웨어 방

식에서는그성능을높이려고하겠지만, 각방식별근본적인차이로부터기

인하는장단점은계속유지될것으로보이며, 각각의시장 역이형성될것

으로예상된다.

1. SiRF

국내GPS칩시장은90%이상, 세계GPS칩시장의50%를점유한최

기업이다. 현재휴 폰시장을중심으로시장을공략하고있다. 경쟁사들이

저가의GPS칩으로SiRF를위협하는것으로평가되지만감도성능뿐아니

라위치의정확도도관련업계에서독보적으로인정을받고있는상황이다.

휴 폰으로 GPS서비스를 하는 시장을 타깃으로 SiRF Technology사

는 최신 GPS칩으로서‘ SiRFstarIII GSD3t’솔루션을 소개했다.

SiRFStar III GSD3는 GPS와 Assited-GPS 프로세싱 모두를 핸들링하는

데 필요한 베이스밴드와 아날로그, RF 회로 모두를 하나의 CMOS 다이에

집어넣고, 과거의 디바이스들은 단일 패키지에 CMOS 베이스밴드와 SiGe

RF 다이를 개별적으로 집어넣었었다. 집적 덕택에 GSD3t는 4mmx4mm

x 0.68mm TFBGA 패키지에들어간다. 이것은SiRF의기존디바이스들을

위한6mm x 4mm 및6mm x 6mm 패키지들과비교된다. GSD3t는SiRF

의일부기존칩들과동일한90nm 공정기술로생산된다. 이칩은SiRF의

기존 부품들보다 더 낮은 전력에서 더 나은 GPS 감도를 보인다고 하 는

데, GSD3t는 -160dBm에서 신호를 포착하여 그 보다 낮은 레벨에서 신호

를추적할수있다. 아직이회사는추적한계에 해서는측정해보지않았

다고한다. 이전의부품들은 략-158dBm에서신호를포착할수있었다.

2. CSR

블루투스 분야의 주요 업체인 CSR사가 GPS 관련하여 사업을 확 한

다. WiFi, UWB 및WiBree와같은무선기술들도개발해온CSR사가이제

는 위성 내비게이션 및 위치기반 서비스를 지원하는 자사 최초의

Autonomous GPS 및Assisted GPS(AGPS) 수신기제품들을내놓는것이

다. 이를 위해 CSR사는 이미 스웨덴 업체인 NordNav Technologies사와

국업체인CPS(Cambridge Positioning Systems)사를인수한바있다.

CSR사는휴 기기에개인용내비게이터및위치기반서비스의탑재율

을증가시키려면기존제품보다훨씬작은크기, 낮은전력및프로세서사

용률, 그리고저렴한가격을실현할수있는GPS 솔루션이필요한데, 기존

의기술은휴 폰과통신망양측모두의관점에서성능상의제약이있기때

문에모바일플랫폼에적합치않으며, 모바일휴 폰제조업체들과통신사

업자들이휴 폰위치기반서비스를고객에게제공하는데있어서직면하

고있는이같은장애물들을이두기업의인수를통해해결했다는것이다.

이 업체들을 인수하여 구현한 소프트웨어 방식 GPS 솔루션이 3월 발표된

‘E5000’이다. 소프트웨어기반의E5000 GPS 솔루션은RF 프론트-엔드

이상의 추가 하드웨어가 필요 없다. 결론적으로 경쟁사들의 하드웨어 기반

의GPS 솔루션과비교할때, 절반이하의전력과한층적은공간을요구한다.

세계최저가의이같은GPS 솔루션으로휴 폰및휴 기기시장을공

략하겠다는것이다. 하드웨어기반의GPS솔루션들은5~10달러정도인반

면에 소프트웨어 기반의 GPS는 량 생산용 휴 폰 및 PND(Personal

Navigation Devices)에적합한낮은가격 를갖고있다. CSR사는초기에

2달러 근방의 가격 를 먼저 구현한 뒤에 최 한 가까운 시일 내에 기존의

시장가격보다낮은1달러미만가격으로제품을출시하겠다는전략이다. 이

같은저비용소프트웨어기반GPS의도입이GPS 시장의성장을더욱가속

시켜줄것으로CSR사는기 하고있다.

3. 퀄컴

자사 MSM칩에서 소프트웨어 방식으로 GPS기능을 구현할 수 있도록

‘gpsOne’기술을제공하는 표적인업체이다. gpsOne 기술에이어퀄컴

은최근스탠드얼론GPS의성능을개선해줄gpsOneXTRA 기술도발표했

다. 퀄컴에따르면이기술은고도의민감도로보다정확한위치확인이가

능하고, 기존의Stand-alone GPS 수신기로는정확한위치확인이어려웠

던실내및도심 집지역같이복잡한지역에서더욱성능을발휘한다.

4. 텍사스인스트루먼트(TI)

TI사는 지난 3월 휴 폰 GPS어플리케이션의 성장을 이끌어 갈 단일

칩NaviLink(tm) 5.0 솔루션을출시했다. 이솔루션은TI의DRP(tm) 단일

칩 기술을 기반으로 하며, 25mm2의 초소형 풋프린트를 제공한다. 이는 최

저비용으로고성능을제공하여, A-GPS와스탠드얼론모드를모두지원한

다는 것이 특징으로 다양한 핸드셋에서 이용할 수 있는 GPS를 통해, 사업

자들은소비자가원하는선명한3D 맵핑및내비게이션등의위치기반서

비스를광범위하게제공할수있다. TI측은"내비링크5.0 GPS 수신기는

도시나실내처럼신호가약한상황에서도빠르고정확한위치확인기능을

제공한다"며, "최소한의호스트로딩과메모리조건만을필요로한다는것이

강점"이라고 설명했다. 이 제품은 자사의 솔루션인 OMAP 및 OMAP-Vox

제품과인터페이스를할수있도록최적화됐으며, 올4분기양산될예정이다.

다른 GPS 아키텍처와는 달리 NaviLink 5.0 칩은 최소한의 호스트 로

딩과메모리조건만을필요로하며, 시스템을설계할때높은유연성과낮은

Design Methodology

Page 33: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Design Methodology 35

전력소비를제공한다. 핸드셋제조업체가원하는주요특징을갖추고있는

이 칩은 3GPP 및 OMA SUPL 성능 조건을 충족하며, 휴 폰에서 손쉽게

통합할수있다.

5. 인피니언

인피니언은 로벌로케이트와함께휴 전화, 스마트폰, PDA 등모바

일기기에사용될수있는초소형A-GPS칩을출시하 다.‘Hammerhead

II’로 불리는 이 칩은 크기 3.74x3.59x0.6mm, 칩 면적 14평방 리를 실

현했다. RFCMOS 다이 1개 위에 저잡음 증폭 회로(LNA), RF다운 컨버터,

시그널프로세싱베이스밴드기술을탑재하고있다.

A-GPS는통신네트워크를활용해정확도와속도를향상한것으로회

사측은“해머헤드칩은전력을적게소비하면서도약한위치신호를감지할

수있어위치확인정확도가높아졌다”고설명한다. 지구위를돌고있는28

개의 위성이 위치를 감지해 알려주도록 하는데 움직이는 차량이나 휴 폰

이사용되는환경에서는위치신호가약해위치를찾으려면시간이오래걸

렸다. 반면이번해머해드칩은휴 전화연결을이용해위성위치추적자료

를휴 전화에보내기때문에비교적빨리정확한위치를찾을수있다는설

명이다.

Ⅴ. 향후 전망

GPS의시장규모는앞으로크게성장하게될것으로전망되고있다. 미

국의기술시장조사기관인ABI는2008년까지GPS시장규모는220억달러

수준이될것이라고최근조사자료를통해발표했다. ABI는현재GPS 수신

기를100달러미만의가격으로구입할수있으며, 앞으로는더욱 중화될

것이라고밝혔다. 특히, FCC가통신사업자에게긴급전화(911)시송신자의

위치가파악될수있도록의무규정을둔것도GPS시장의확 의배경이되

고있다.

GPS의응용분야로각광받고있는것은사람의위치추적, 자동차추적,

자산 추적 등으로, 최근 많은 기술기업들이 관심을 갖고 연구하고 있는

Telematics시장의성장엔진이될것으로평가되고있다.

특히자동차관련방비및자산추적분야는2003년말GPS시장의50%

정도를차지하게될것이며, 향후로도지속적으로성장해나갈가장유망한

분야로꼽았다. 또한핸드폰및사람의위치추적시장은전체시장성장률인

12%를 훨씬 초과하는 성장을 보일 것으로 전망했다. 한편, 현재 사용되고

있는 GPS응용장치의 표적인 것은 골프장에서 남은 거리 등 관련정보를

알려주는골프어시스턴트, 유괴방지용시스템등이다.

GPS시장에서 가장 큰 두가지 분야로서 차량용 GPS 내비게이션과 휴

폰 응용분야인데, Dataquest에 따르면 전세계 자동차 GPS 내비게이션

시스템 생산량이 1999년 약 300만 에서 2004년에는 거의 1,600만 에

달하여 39%의 복합 연평균 성장률을 기록할 것으로 전망되고 있다. 특히,

유럽의자동자제조업자들이중/소형자동차에적합한GPS 내비게이션시

스템을 개발함에 따라 유럽에서의 생산량이 급증, 2004년 전세계 자동차

GPS 내비게이션시스템생산량의40%를유럽이차지할것으로예상된다.

한편 내비게이션 시스템 생산을 위한 반도체 시장규모는 2000년 약 8억

5,000만달러에서2004년에는23억달러(급진적전망은30억달러)까지증

가할것으로Dataquest는내다보았다.

두번째로 큰 분야로 보고있는 휴 폰 응용시장에서 GPS는 휴 폰 부

문의많지않은킬러어플리케이션가운데하나로서향후강력한성장세를

보일 것으로 예상된다. ABI Research사에서는 북미, 유럽 및 아태 지역에

초점을둔전망에서휴 폰부문의GPS 칩연간성장률이2006년~2011년

기간 중에 45%에 이르러 2억개 규모의 칩 시장을 형성할 것으로 예상하고

있다. 실제로 GPS 기능이 장착되는 모바일 기기는 꾸준히 늘어날 것으로

보인다. 미국은 연방통신위원회(FCC)의 응급상황911 조항에 따라 오는

2005년 연말까지 모든 무선통신사업자들은 긴급 상황 신고에 비해 위치

를 추적할 수 있는 인프라를 마련해야 한다. 일본도 오는 2007년 4월 이후

부터 판매될 모든 3G 휴 폰은 긴급상황 발생시 위치 서비스를 지원할 수

있는A-GPS 기능을갖추어야한다고규정한바있다.

동일한목적의시스템이지만, GPS가미국방성에서주도한위성항법

시스템이라면민간용위성항법시스템인GALILEO는기존의위성항법시스

템인 GPS나 GLONASS가 군사용 목적으로 개발되어 민간인 및 상업적으

로 사용에 제약을 받아왔으며 미국과 구 소련위주의 위성항법기술의 향

에서 벗어나기 위해 유럽연합에서 개발한 위성 항법시스템이 GALILEO이

다. 이는 고도 23,616km에 30개의 위성이 경사각 56도의 3개의 원궤도에

서 해당 신호를 송출하게 되며 이 서비스는 시작이 민간용이고, 2004년부

터시험서비스를준비한상 적으로최근시스템으로서다양하고고성능을

보일 수 있도록 고안되었다. 상용서비스는 2008년을 목표로 하 으나, 현

재까지의 움직임으로 보아 이는 다소 지연될 것으로 보이나, GPS와 함께

위성항법시스템의 주요한 시스템으로 자리잡을 것으로 예상되고,

GALILEO가상용화가될시기에는상당수의GPS솔루션들이GALILEO도

지원하는Dual 모드로변경될것으로예상되며, 이와더불어위치추적의가

능범위와정확도도매우높아질것으로예상된다.

GPS와GALILEO가통합된시장에서도솔루션의구현입장에서는 하

드웨어 방식과 소프트웨어 방식이 모두 존재할 것으로 예상된다. 앞에서도

언급한바와같이하드웨어방식에서는저가의솔루션을위해움직일것이

며소프트웨어방식에서는그성능을높이려고하겠지만, 각방식별근본적

인차이로부터기인하는장단점은계속유지될것으로보인다. 각각의시장

역이 형성될 것으로 전망되며 상 적으로 하드웨어 방식은 차량용 텔레

메틱스 쪽에서 소프트웨어 방식은 휴 폰 응용분야에서 강점을 가지게 될

것으로예상된다.

[참고문헌]

[ 1 ] M. S. Grewal, L. R. Weill, and A. P. Andrews, Global Positioning

Systems, Inertial Navigation, and Integration, A John Wiley & Sons,

Inc., 2001

[ 2 ] E-R, Ahmed, Introduction to GPS: the Global Positioning System,

Artech House, Inc., 2002

[ 3 ] Navstar GPS User Equipment Introduction, http://www.navcen.

uscg.gov/pubs/, U.S. Coast Guard Navigation Center, Sep., 1996

[ 4 ] Application Note 3952, Designing a Low-Cost, Low-Component-

Count PGS Receiver, Maxim Integrated Products, Inc., Nov 13, 2006

[ 5 ] R. E. Ziemer and W. H. Tranter, Principles of Communications, 4th ed.

Wiley, John&Sons, Inc., Dec 1994

[ 6 ] Jean-Marie Zogg, GPS Basics - Introduction to the

system/Application overview, u-blox AG, Mar. 2002

[ 7 ] 아이티타임스, 모바일기기용 GPS 솔루션 출시 ' 세', 2007. 4.12

[ 8 ] 전자엔지니어, CSR, 소프트웨어기반GPS 솔루션으로사업확 , 2007.3.1-15

Design Methodology

Page 34: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

36 IT SoC Magazine

내비게이션시장및제품동향전황수 한국전자통신연구원 사업화전략연구팀 책임연구원([email protected])

Ⅰ. 서 론

내비게이션(Navigation System)은 현재 위치로 부터 목

적지까지의 거리 및 교통상황을 고려하여 선택한 최적의 경로

를 따라 안내를 하는 도로 및 교통정보 제공 시스템이다. 내비

게이션은 위성항법시스템의 전파를 받아 현재 위치를 계산하

기 위한 수신기(GPS안테나), 도로 및 경로 정보를 제공하기 위

한 전자지도, 도로와 교통 상황을 고려하여 최적 경로를 계산

하고 안내하는 소프트웨어, 경로 정보를 화면에 보여주기 위한

정보단말및저장장치등으로구성된다.

내비게이션은 판매 형태에 따라 BM(Before Market)과

AM(After Market), 제품 형태에 따라 차량장착형(In-dash,

On-dash)과휴 형(PMP, PDA, 스마트폰) 제품으로분류된다.

내비게이션의 종류로는 차량장착형, PDA형, 핸드폰형,

DMB/PMP 일체형 등 4가지 유형이 있다. 차량장착형 내비게

이션은 차량의 센터페시아 부분에 장착된 내비게이션 시스템

을 의미하며 주로 BM을 통해 판매된다. PDA형 내비게이션은

PDA에 내비게이션 S/W를 탑재하는 형태로, 거치 를 쉬보

드나 차창에 장착하여 사용하며, 휴 및 전자지도 업데이트가

용이한 장점이 있다. 핸드폰형 내비게이션은 SKT가 제공하는

Nate Drive와 KTF가 제공하는 K-ways가 보급되고 있는데,

휴 의 편리성은 높지만 내비게이션 기능을 지원하는 핸드폰

을 구입해야만 서비스 이용이 가능하고 이용료를 납부해야 하

는 단점이 있다. DMB/PMP 일체형 내비게이션은 타 IT기기와

컨버전스화가 지속적으로 이루어지고 있으며, 좋은 예가 DMB

및 PMP 일체형 내비게이션이다. PMP 단말에 내비게이션,

DMB 수신, MP3 player, 게임, 차계부, 일정관리 등이 포함된

컨버전스제품도나오고있다.

본 고에서는 내비게이션의 국내외 시장동향과 제품현황을

살펴보고내비게이션의성공요인및전망을살펴보고자한다.

Ⅱ. 시장 전망

1. 국내 시장

1) 시장규모

국내시장은 2004년 20만 , 2005년 80만 , 2006년 120

만 를 거쳐 2007년에는 150만 규모로 늘어날 전망이다.

2005년 국내 등록차량 수가 1,500만 임을 감안할 때, 90%

가량의차량이여전히잠재고객으로남아있다.<그림 1> 내비게이션 단말 및 부속장치

주: (a) 자동차 운전자용 내비게이션, (b) 선박용 내비게이션, (c) PDA 및 스마트폰 탑재형

내비게이션, (d) 위성항법시스템 수신기(GPS 안테나)

(a) (b)

(c) (d)

Industry Trend

Page 35: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Focus On Navigation 37

Industry Trend

2006년 내비게이션 단말기 시장은 약 5,000억원 규모로

추산되고 있다. 100여개 회사가 단말기를 판매 중이지만, 팅크

웨어, LG상사, 파인디지털, 현 오토넷, 카포인트, 디지털큐브

가 전체 AM 단말기 시장의 80~90%를 점유하고 있다. 2005

년에 BM 단말기 판매량은 3.3만 규모로 전체 시장의 5% 미

만에불과하다. 2006년전자지도및소프트웨어시장은400억

원 규모로, 만도맵앤소프트와 팅크웨어가 전체 시장의 80%를

차지하고있다.

2006년의 시장 견인 요인은 DMB 수신이 가능한 7인치 단

말의 출시 및 On-line 유통(인터넷쇼핑몰, 홈쇼핑)의 판매 비

중확 에기인한다.

2) 수출입 현황

2004년까지는 수입이 수출보다 많았지만, 2005년에 수출

이 급격히 증가함에 따라 무역흑자를 기록하 다. 내비게이션

단말기 수출액은 2001년 195만 달러에서 2003년 818만 달러,

2005년6,831만달러를기록하 다.

내비게이션 무역수지는 2001년 2,904만 달러 적자, 2003

년 3,023만 달러 적자, 2004년 2,218만 달러 적자에서 2005

년 2,600만 달러의 무역흑자를 기록하 다. 내비게이션 무역

수지가 2004년까지 적자를 기록하다가 2005년부터 흑자를 기

록한 데는 2004년까지 국내 내비게이션 업체들이 미성숙하여

만의 미오테크놀로지 등 해외업체로부터 수입이 상당수 이

루어졌기 때문이고, 2005년부터는 국내업체들이 시장포화로

미국, 일본, 유럽 등 해외수출을 적극 추진하고 국내업체들의

경쟁력이향상되어해외로부터의수입이감소한데기인한다.

수입은 만, 미국, 일본, 중국, 독일 순이며, 수출은 독일,

미국, 프랑스, 국, 일본 등 내비게이션 기기의 수요가 활발한

선진국중심으로이루어지고있다.

2. 해외 시장

TRG(Telematics Research Group)에 의하면 2005년 세

계 내비게이션 판매 수는 1,670만 에 달하고 2011년에는 1

억 3,020만 에 달할 전망이다. 차량장착용 내비게이션은

2005년 850만 에서 2011년 2,020만 로 증가하고, 휴 용

내비게이션은 2005년 817만 에서 2011년 1억 990만 로 급

증할전망이다.

TRG에 의하면 2005년 주요 시장의 내비게이션 판매 규모

는 미국 120만 (텔레매틱스 300만 ), 일본 270만 (텔레매

<표 1> 국내 내비게이션의 AM 시장 규모

연 도 2004 2005 2006 2007 2008 2009

(단위: 만 )

자료:각 사 홈페이지, 데이코D&S, 2006.

<표 2> 국내 자동차 등록 수 및 내비게이션 보급 전망

2004 2005 2006 2007 2008 2009 2010

자동차 등록 수14,743 15,127 15,640 16,326 17,329 18,050 18,736(천 )

신규 사용자(천명) 360 600 971 1,224 1,386 1,624 1,874

전체 가용시장2.4 4.0 6.0 7.5 8.0 9.0 10.0

비 비중(%)

교체수요(천 ) - - 36 132 361 629 789

국내 내비게이션360 600 971 1,356 1,747 2,253 2,663

판매 수(천 )

누적 내비게이션360 960 1,931 3,240 4,825 6,651 8,777

판매량(천 )

내비게이션보급률(%) 2.4 6.3 12.3 19.8 27.8 36.8 46.8

자료: 팅크웨어, 한국투자증권 리서치센터, 2006.9

<표 3> 연도별 내비게이션 수출입현황*(단위: 천달러)

분 류 2001 2002 2003 2004 2005

수 출 1,954 3,501 8,182 8,891 68,309

수 입 30,993 49,578 38,416 31,068 42,313

무역수지 -29,039 -46,077 -30,234 -22,177 25,996

(단위: 천달러)

미국25,285

국36,097

일본18,713

독일103,747

미국60,741

프랑스46,768

일본,17,935

중국,17,750

독일,3,059

만67,768

<그림 2> 국가별 수출입 실적 상위 5개국

자료 : 관세청 수출입 통계, 알앤디비즈 재구성, 2006

자료 : 관세청 수출입 통계, 알앤디비즈 재구성, 2006

* 관세청 통계는 순수한 내비게이션만의 통계치가 아님

매출 수매경이코노미 20 80 120 150 - -

데이코D&S - 70 115 137 168 199

누적보유 수데이코D&S - 120 227 347 481 629

한국투자증권 - - 152.4 293.6 508.4 804.8

Focus onFocus on

Page 36: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

38 IT SoC Magazine

틱스 170만 ), 유럽 360만 (텔레매틱스 87만 )로 추정된다.

주요 업체들로는 미국의 Garmin(57%), 네덜란드의 TomTom

(21%), 만의 Mitac(12%)이 90%의 점유율로 세계시장을 주

도하고있다.

내비게이션 시장은 국가들의 사회문화적 성격에 따라 서로

다른 시장 특성을 보이고 있다. 일본 시장은 내비게이션, 교통

정보, 진보된 POI, 엔터테인먼트 용도의 시장 특성을 가지며,

미국 시장은 단말 판매보다는 응급구조, 안전, 보안 서비스를

제공하는 텔레매틱스 서비스 이용료 중심으로 시장이 진화하

는 경향이 있다. 유럽 시장은 내비게이션 및 교통정보 서비스

에 한 사용자 요구가 높고, 독일, 프랑스 이탈리아, 국이

시장을주도하고있다.

2006년 12월 Strategic Analytics에 따르면 세계 GPS 출

하 수는 2006년 2,330만 , 2007년 3,760만 , 2008년

4,470만 에 달할 것으로 전망된다. 2006년 9월 Morgan

Stanley에 따르면 GPS 장착 내비게이션은 2005년 1,654만

, 2007년6,403만 , 2010년2억6,497만 에달할 것으로

전망하고 있다. 자동차의 GPS 내비게이션 보급률은 2005년

2.03%, 2007년 7.3%, 2010년 27.15%에 달할 것으로 예측되

고있다.III. 제품 동향

1. 국내 업체

1) 업체 동향

국내시장은 팅크웨어, LG상사, 파인디지털, 현 오토넷,

카포인트, 디지털큐브가 전체 단말기 시장의 80~90%를 점유

한 것으로 추산되고 있다. 내비게이션 시장이 폭발적인 성장을

기록함에 따라 삼성전자, LG전자 등 기업이 내비게이션 시

장에 뛰어들고, 새롭게 내비게이션 제품을 들고 나오는 중소업

<표 4> 세계 자동차 및 GPS 단말기 출하전망

분 류 2006 2007 2008

(단위: 백만 )

자료:Strategic Analytics, Longbow Research estimates, 2006.12

<표 5> 세계 자동차 내비게이션의 보급전망 2005~2010

2005 2006 2007 2008 2009 2010 CAGR

(단위: 천 )

<표 6> 주요 내비게이션 가격 현황

구 분 DMB 일체형 일반형(메모리)

팅크웨어아아나비 스마트(4인치) 아이나비UP+

4GB 메모리, 휴 가능, 50만원 중반 399,000원

파인디지털Fine-M700D(7인치)/1GB메모리, 고정형, 파인드라이브 Fine-400

549,000원 299,000원

미오 미오C810(4.3인치) 5월 출시 미오C310 449,000원

현 오토넷폰터스HNA-6220(6.2인치) 폰터스 HNA-6211

512MB, 고정형, 559,000원 499,000원

카포인트XROAD COREA Z3000(7인치) 512MB, 엑스로드 파리

고정형, 549,000원 428,0000원

자료: 각 업체, 중앙일보, 2006.5.18/파이낸셜뉴스 2006.5.12 취합 정리

자료: Morgan Stanley Research, U.S Department of Transportation, Credit Suisse,

2006.9

자동차 출하신차 65 68 71

중고차 613 638 664

신차 15.0% 20.0% 25.0%

GPS보급률 중고차 2.2% 3.7% 4.0%

누적 자동차 GPS보급률 8.9% 14.4% 20.5%

신 차 9.7 13.6 17.7

성장률 30% 40% 30%

중고차 13.3 23.6 26.6

GPS 출하 성장률 67% 80% 13%

상용차 0.3 0.3 0.4

성장률 22% 18% 17%

합 계 23.3 37.6 44.7

성장률 49% 61% 19%

Global New Auto Sales 62,025 64,131 66,580 68,481 70,353 72,319

Total New Autos with 6,340 9,8331 5,313 23,611 36,406 56,135 55%

GPS(OEM)

2005 2006 2007 2008 2009 2010 CAGR

%ofNewAuto withGPS 10.2% 15.3% 23.0% 34.5% 51.7% 77.6%

Growth 187% 55% 56% 54% 54% 54%

OEM Installed base 10,686 19,184 32,098 51,697 81,641 127,570

with GPS

AverageGlobalCar814,636 845,129 876,680 909,123 942,155 975,804inuse

% of OEM penetration 1.31% 2.27% 3.66% 5.69% 8.67% 13.07%

with GPS

Average Global Car 814,636 845,129 876,680 909,123 942,155 975,804in use

Total New Aftermarket 4,883 10,406 18,351 28,545 41,415 57,906 64%Sales

% New Aftermarket 0.60% 1.23% 2.09% 3.14% 4.40% 5.93%Sales with GPS

Growth 522% 113% 76% 56% 45% 40%

Aftermarket Instal1ed 5,855 15,529 31,939 56,491 90,844 37,395base with GPS

% of Aftermarket 0.72% 1.84% 3.64% 6.21% 9.64% 14.08%penetration with GPS

Total GPS Automotive 11,244 20,239 33,663 52,156 77,820 114,041 59%units

Growth 275% 80% 66% 55% 49% 47%

Total GPS Installed base 16,541 34,713 64,037 108,188 172,485 264,966

% of Total GPS 2.03% 4.11% 7.30% 11.90% 18.31% 27.15%Automotive penetration

Industry Trend

Page 37: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Focus On Navigation 39

체들도 급증하고 있다. 기업들은 각종 멀티미디어 기기에 내

비게이션 기능이 내장되는 사례가 늘고, 내비게이터가 텔레매

틱스 서비스와 연동되면서 자동차용 서버로 발전할 가능성이

커지고있어중점사업으로집중육성하고있다.

2) 최근의 제품 동향

최신 제품군에는 단순한 지도 안내부터 동 상이나 MP3

음악, DMB, 게임을 즐길 수 있는 부가기능도 탑재하고 있다.

또 내비게이션 업체들이 통신사, 방송사와 손을 잡고 콘텐츠

확장경쟁을전개하고있다.

한편, 내비게이션, PMP, MP3플레이어, DMB 단말 기능이

하나로 통합되는 휴 정보기기 컨버전스가 가속화되고 있다.

그러나 제품간 경계가 무너져 소비자 선택 폭이 늘어났지만 쓰

임새와 용도 고민을 하지 않으면 기능의 낭비라는 또 다른 고민

을 낳고 있다. 4가지 기능을 모두 소화하는 컨버전스 단말기 개

발과 출시 경쟁을 펼치면서 동일시장을 놓고 무한 경쟁을 전개

하고 있다. 지상파 DMB 기준은 물론 기존 SD메모리카드를 사

용하는 방식 신 20�30GB짜리 미니 하드디스크를 탑재한

PMP 겸용 내비게이션 제품까지 출시되고 있는데, 컨버전스 단

말기들은 MP3플레이어 기능을 모두 포함하고 있다. 삼성전자,

LG전자 등 기업을 비롯해 PMP 전문업체들도 4가지 기능을

모두지닌복합단말기를경쟁적으로출시하고있다.

TU미디어는2006년9월초TPEG 서비스를위한방송센터

구축을완료하고, 단말기업체인하이온콥과의개발도순조롭게

진행되어 10월에 TPEG 교통정보서비스 시험방송을 실시하고,

2007년2월부터서비스를시작하 다. 교통정보서비스제공은

SK주식회사가 담당하며 방송센터 구축 완료 후 연동시험을 시

작하 다. TPEG 서비스내용으로는△실시간교통정보△주변

지역정보△안전운전도우미△주요도로소통정보등이다.

한편, 2006년 8월 현 오토넷은 세계 최초로 지상파DMB

수신기에 TPEG(Transport Protocol Expert Group; 교통∙

여행자 정보 전송 규격)을 적용하여, 실시간 교통정보를 제공하

는 다이내믹 내비게이션 시스템 개발에 성공했다고 발표하

다. 현 자동차가 제공하는 실시간 교통∙여행 정보를 KBS의

지상파DMB 데이터방송을통해현 오토넷의수신기로수신,

다이내믹 내비게이션을 구현한다. 이 시스템은 막히는 길을 우

회하는최적의경로를안내할뿐만아니라현재지상파DMB를

수신할수있는주요도로및전국고속도로의구간별소통상황

을운전자가쉽게파악할수있도록그래픽으로제공한다.

또 2006년 11월 KBS DMB가 최초로 TPEG 상용서비스를

개시하 고, 지상파 DMB 3개 방송사는 2007년 4월중 서비스

를 예정하고 있다. 위성 DMB의 경우 TU 미디어가 2007년 3

월부터TPEG 서비스를개시하 다.

<표 9> 방송사업자별 서비스 현황

※ TPEG 과금제

1) B2C : 이용자는 월, 년 단위로 방송사에 이용요금 납부(이용요금 : 이용자 → 방송사)

2) B2B : 이용자는 단말기 구매시 이용요금 선납부 (이용요금 : 이용자 → 단말기제조사

→ 방송사) / 자료: 정보통신부, 2007.4

<표 7> DMB 내비게이션 주요 제품

업체명(모델명) LCD크기 전자지도 PIP NIP뚜벅이 FM트랜스

TPEG 가격(원)기능 미터

삼성전자(STT-D370) 3.7인치 리얼3D맵 X X O O O 569,500

LG전자(LAN-SD460) 4.1인치 아아나비 X X O X X 387,840

파인디지털7인치 파인맵 O O X O O 226,500

(Fine-M720DB)

팅크웨어4.3인치 아이나비 X X O X X 670,080

(아이나비STAR)

디지털큐브7인치 맵피 O O X X X 498,000

(아이스테이션T7)

카포인트7인치 맵피 O O X O X 424,340

(엑스로드Z5000)

현 오토넷7인치 지니 O X X X O 430,000

폰터스HNA-7031)

MITAC(Mi oC810) 4.3인치 맵피 X X O X X 284,050

유경테크놀로지스4.3인치 아이나비 X X O X X 579,000

(villiv P2 DMB Navi)

하이온콥(하이온듀오) 7인치 토마토 O X X O O 599,000

<표 8> KBS TPEG 서비스와 내비게이션의 비교

항목 KBS TPEG 서비스 내비게이션

교통정보수신 가능(서울, 수도권, 부산, 고속도로) 불가능

교통정보반 길안내 가능(실시간교통정보반 한최적경로안내)불가능

최단거리 안내

위험지역 업데이트 자동 업데이트 PC연결 후 업데이트

사고, 공사, 통제정보 가능 불가능

맛집, 여행정보 정기 자동 업데이트 단말기 내장

자료:디지털타임스, 2007.3.28

구분 방송사업자 서비스시작 단말기 과금 강점 및 특징

KBS DMB 2006. 11월현 오토넷 등 5종 B2B선과금 최초 상용 서비스

(전용 4종, 휴 폰 1종) (약 7만원) 현재까지33천 배포

MBC DMB 2007.4월중디지털큐브, 카포인트

B2B 선과금아이디오(FM라디오

등 6~7종 예정 통데이터서비스)노하우

SBS DMB 2007.4월중 미정 미정 -

YTN DMB 2007.4월중파인디지털외 추가 B2C월정액 뉴스 콘텐츠 이용

예정 (4,000원/월) 방송위 약관심의 중

TU미디어 2007. 3월하이온 등 1종 B2C월정액

전국 커버리지

(3~4종 추가 예정) (3,000원/월)가입자:180명 지상파,

위성통합단말기

지상파

DMB

위성

DMB

Industry Trend

Page 38: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

40 IT SoC Magazine

3) 국내업체의 해외진출

그동안 내수 시장에 중점을 둬 왔던 내비게이션 단말기 업

체들이 최근 유럽,미국 등 현지에 맞는 지도와 소프트웨어 개

발을 끝내고 본격적인 수출 시장에 진출하고 있다. 카포인트는

2005년부터 한국을 비롯해 미국, 독일, 프랑스, 국, 이탈리

아, 스페인, 호주 등 24개국 전자지도와 한국어, 어, 프랑스

어 등 7개국 언어를 지원하는 월드 내비게이션을 개발하여 세

계각지로수출하고있다.

현 오토넷은5만엔 의저가형제품으로일본시장에수출

을 적극적으로 추진하고 있다. 팅크웨어는 독일, 프랑스,이탈리

아, 스페인, 네덜란드 등 서유럽 11개국의 지도와 여행지 테마정

보 등을 제공하는 유럽시장용 내비게이션 `팅크나비(Think

Navi) 개발을완료하고, 유럽시장을집중개척하고있다.

파인디지털은 미 전역 유통망을 갖춘 스테이플스 공급에

힘입어 미국 QVC, HSN, 숍앳홈(Shop at Home) 등 홈쇼핑에

서내비게이터판매를시작하고있다.

2. 해외 업체

1) 주요업체

해외의 주요 내비게이션 기기 생산업체로는 Garmin,

TomTom, Mitac, Trimble, SiRF Technology, Holdings

Inc., Aisin AW, Pioneer, Xanavi, Alpine 등이 있다. 또 벤

츠, BMW GM, 혼다 등 세계적인 자동차업체들은 경로안내 뿐

만 아니라 동적경로안내(DRGS) 내비게이션에 이르기까지 첨

단기술개발에전력을경주하고있다.

2) 미국업체

Garmin은1991년에설립되어GSP 내비게이션기기중심으

로성장하 고, 2006년까지약1,400만 의제품을판매했으며,

2005년에는약3백만 가량의내비게이션제품을판매하 다.

Trimble은 1978년 위치 및 항해 관련 제품 중심으로 사업

역을 확 하여 Engineering and Construction, Field

Solution, Component Technology, Mobile Solutions,

Portfolio Technologies의 사업부문을 중심으로 운 하고 있

다. 2005년7억7,400만달러의매출을기록하 다.

Horizon은 미국 제1위 내비게이션 생산업체로 Big 3(GM,

Ford, Chrysler) 및 유럽의 자동차업체에 내비게이션을 납품

하고있다.

휴렛패커드(HP)는 세계 유수의 컴퓨터 및 프린터, 주변기기

생산업체로PC, 서버, Storage, 프린터, Fax, 내비게이션, 복사

기, 스캐너등의다양한품목을생산하고있다. iPAQ hw6515,

iPAQ rx1955 등 표적내비게이션모델을판매하고있다.

3) 일본업체

Alpine은 일본 유수의 내비게이션 제조업체로 DRGS

(Dynamic Route Guidance System) 내비게이션 NVEN

872을출시하 다.

Pioneer는 도요타, 닛산 등 차업체에게 OEM 방식으로 카

내비게이션 시스템을 공급하고 있으며, 내비게이션 시장에서

30% 점유율을확보하고있다.

SONY는 세계적인 음향, TV, 게임기 제조업체로 내비게이

션을 생산하고 있는데, DRGS 기능 onav-u’, HDD 내비게이

션XYZ시리즈를출시하 다.

Kenwood는세계적인음향장치및내비게이션제조업체로

DRGS 기능의DVD NV-D8732T, HDZ-2519 iS 모델을출시

하 다.

JVC는 마쓰시다전기 계열로 DRGS 기능 KD-NX901,

KV-PX9B를출시하 다.

SANYO는 일본의 종합가전 및 내비게이션 생산업체로

HDD 오디오 기능을 탑재한 휴 용 내비게이션 NV-HD550

를출시하 다.

NEC는 일본의 컴퓨터 및 종합전자회사로 KDDI와 공동으

로 2004년 10월 휴 전화로 지하상가나 옥내에서 길잡이를 해

주는3차원내비게이션시스템을개발하 다.

<표 10> 자동차 제조업체의 내비게이션 및 텔레매틱스 기술 및 서비스 개발 동향

BMW 벤츠 피아트 혼다 GM 르노

경로안내 ●● ●● ● ●● ● ●

교통정보 ●● ●● ● ●● ● ●●

동적 내비게이션 ●● ●● ● ●● ●

SOS/노변도움 ●● ●● ●● ● ●● ●●

모바일인터넷 ● ● ●● ●●

음성기술 ●● ●● ●● ●●

모바일 오피스/PDA ● ● ●●

CD 오디오 ●● ●● ●● ●● ●●

디지털라디오 ● ● ● ●● ●

DVD&Video ● ●● ●

주) ●: 중점개발, ●●: 개발중 / 자료: Strategic Analytics in Vehicle Telematics and

Adultmedia, 2001/교통개발연구원, 2003.12

Industry Trend

Page 39: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Aisin AW는 도요타 등 11개 국내외 자동차업체에 OEM으

로 단말기를 공급하고 있는데 2004년 710,00 의 내비게이션

을판매하 다.

Clarion은 일본의 오디오/비주얼, 카 내비게이션, 차탑재

기제조사로DRGS 기능의MAX960XD 모델을출시하 다.

4) 유럽 및 만업체

TomTom은 네덜란드에 본사를 둔 내비게이션 전문업체로

2005년 총 매출액은 6억 1,400만 달러를 기록했고, All-in-

one 내비게이션, PDA 내비게이션, Mobile 내비게이션 등이

표적인모델이다.

Becker는 세계 유수의 infotainment 시스템 업체로 독일

에 본사가 위치하고 있으며, DRGS 기능 내비게이션 Bec-

Indian 7922를출시하 다.

Blaupunkto는 네덜란드에 소재한 세계 유수의

infotainment 시스템 업체로 DRGS 내비게이션 TravelPilot

Lucca MP3를출시하 다.

Mio Technology( 만)는 세계 각지에서 PDA, 내비게이

션, PMP, DMB 등을 생산하고 있으며, 2005년 만시장 1위,

세계 시장 3위를 기록하 다. 한국시장에서는 기존에 LG상사

에위탁판매하던방식에서직판체제로전환하 다.

Focus On Navigation 41

<표 11> 미국 업체의 제품별 현황

업 체 제 품 특 성

회사개요� 1991년에 설립되어 GSP 내비게이션 기기중심으로 성장

- 현재까지 약 1,400만 의 제품을 판매했으며, 2005년에는 약 3백만 가량의 내비게이션 제품을 판매

StreetPilot c550� New High-Sensitivity WAAS-capable GPS receiver by SiRF

� 터치스크린 TFT LCD/무게 : 269g/MP3 player 기능/음성안내

� OS : MS Windows Mobile 2003 2nd Edition

iQue M3 � 프로세서 : 312MHz Intel PXA 271 Xscale/PDA형 내비게이션

� 크기 : 5.0×2.8×0.74/메모리 : 32MB ROM: 64MB RAM

Rino 110� WAAS-enabled GPS receiver

� 22 communication channels/쌍방간 통화 및 위치 추적 가능

StreetPilot c330

� DRGS 기능 내비게이션으로 가격은 $499

� 3.5인치 16bit TFT-LED 터치스크린/3-D 내비게이션

� 500 way points저장(도로명 및 그래픽 심볼)

� 4 7/16〃W×3 3/16〃’H×2 13/16〃D

회사개요

� 1978년 위치 및 항해 관련 제품 중심으로 사업 역을 확 하여 Engineering and construction, Field Solution,

component Technology, Mobile Solutions, Portfolio Technologies의 사업부문

- 2005년에는 7억 7,400만 달러의 매출을 기록

� High accurate GIS data collection/416MHz processor

GeoExplorer � MS Windows MobileTM Version 5.0 S/W for Pocket PC

� Secure Digital(SD) 메모리카드/블루투스 및 무선랜 기반

� 저렴한 GPS Handheld/한손에 5개의 버튼으로 작동이 용이

Geko 101 � 소형이면서 가볍고, 방수기능의 GPS unit

� 25 waypoint storage capacity/107.95달러의 가격에 판매

회사개요 � 미국 제1위 내비게이션 생산업체로 Big 3(GM, Ford, Chrysler) 및 유럽의 자동차업체에 내비게이션 납품

NavMate 2.0

� 32.인치 칼러 LCD 디스플레이

� Tun-by-turn visual and voice-assisted route guidance

� Detour and automatic rerouting capability(DRGS)

� 미국 전역을 커버하는 9개 CD의 지도 D/B/350달러 저렴한 가격

� 5인치 터치스크린 칼러 LCD 디스플레이/599달러에 판매

NavMate PN600 � 휴 용 내비게이션 시스템/MP3 기능, 3D map view

� 1GB flash card/첨단 POI search/ DRGS 기능 내비게이션

Garmin

Trimble

Horizon

Navigation

Industry Trend

Page 40: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

42 IT SoC Magazine

업 체 제 품 특 성

� DVD(NM-DV400) 및 Hard Drive(NM-HD500) 내비게이션 컴퓨터

� 5인치 컬러 디스플레이 모니터/수백만 POI

NavMare FexNav � Off-road Trail Mode/Dynamic Navigation using traffic info

� Beam Destination from PDA

� Mapping for Europe, Canada, and USA

회사개요 � 세계유수의 컴퓨터 및 프린터, 주변기기 생산업체로 PC, 서버, Storage, 프린터, Fax, 내비게이션, 복사기, 스캐너 등을 생산

iPAQ hw6515

� Intel PXA272 processor(312MHz)

� Windows Mobile 2003 for Pocket PC, Phone Edition

� 64MB SDRAM, 64MB flash ROM/3인치 TFT-LED 스크린

� DRGS 기능 내비게이션/NAVTEQ map 적용/599 달러에 판매

� 300MHz processor(Samsung SC 32442)

� MS Windows Mobile 5.0 for Pocket PC, 펜티움

� 32MB SDRAM, 64MB Flash ROM

� 36 MB user-accessible memory/Integrated wireless LAN 802.11b

� 3.5인치 TFT-LED 스크린/1100 mAh battery

� 90-day limited warrancy/299.99 달러에 판매

회사개요 � 이탈리아의 자동차업체 피아트와 손잡고 2006년 2월말‘블루&미’라는 카 내비게이션을 제네바 모터쇼에 선보임

<표 12> 일본 업체의 제품별 현황

업 체 제 품 특 성

� 차량용 DVD DRGS 내비게이션(가격: $1,699)

NVEN872 - 미국과 캐나다 전역을 커버/고속도로 입출구 등 900만 POI 보유

- 어, 불어, 스페인어 등 다국어 지원

� 2005년 5월 AISIN-AW 와 공동으로 개발

HD55 - CPU 코어에 새롭게 개발한「X-PressEngine」를 장착하여 데이터 전송 속도가 2-4배 정도 빨라졌고, 스크롤이나 검색 등 동작반

응도 향상

회사개요- Pioneer는 도요타, 닛산 등 자동차 제조업체에게 OEM 방식으로 카 내비게이션 시스템을 공급/내비게이션 시장에서 30%의

점유율을 확보

AVIC-Z1 GPS � DRGS 기능을 갖춘 GPS 내비게이션으로 운전자에게 정보, 오락, 편의성을 제공하는 30 GB 하드드라이버, 블루투스, text-to-

speech voice guidance, voice recognition 기능을 보유

� 2005년 5월 기존제품의 기능을 강화하고 새로운 특징을 강조한 신형 카 내비게이션 시스템

- 가격은 최상위 모델「AVIC-ZH990MD」가 367,500엔

nav-u’� DRGS 기능을 갖춘 개인용 내비게이션으로 2006년 1월 출시

� 3.5인치 고품질 터치스크린, GUI(Graphic User Interface), two-way 스피커시스템 구비

HDD 내비게이션� 2005년 5월 HDD 탑재 카 내비게이션 시스템 출시

- 6.5인치 와이드 WVGA(800×480픽셀) TFT 액정 패널을 장착하고, 터치 패널 기능 구비, 행동 인식 코맨드(gesture

command) 기능

NV-D8732T� DRGS 기능을 갖춘 내비게이션/DVD

� 7.0인치 컬러 TFT Active Matrix 디스플레이, 336,960 pixels

HDZ-2519 iS � 2004년 6월 출시, 세콤의 위치 정보를 제공하는 서비스「코코세콤」에 응한 HDD 내비게이션 시스템 으로 가격은 17만 3250엔

회사개요 � 마쓰시다전기 계열로 일본유수의 음향기기 전문업체로 멀티미디어, 스피커, 앰프 등 AV를 생산

KD-NX901 � DRGS 내비게이션/HDD Navigation/DVD/CD/SD Receiver

KV-PX9B � 3.5인치 터치스크린 모니터/POI(1,300만 이상)/749.95달러에 판매

� HDD 오디오 기능을 탑재한 휴 용 내비게이션

NV-HD550 - 내비게이션 기능에 HDD 오디오 기능을 더해 차 안 뿐만 아니라, 가정에서도 이 내비게이션을 이용/지상 디지털 TV튜너,

ETC 접속단자

Navigation

Carrozzeria HDD

사이버 내비게이션

XYZ 시리즈

iPAQ rx1955

Alpine

휴렛패커드(HP)

Microsoft

Horizon

Navigation

Pioneer

SONY

Kenwood

JVC

SANYO

Industry Trend

Page 41: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Focus On Navigation 43

업 체 제 품 특 성

NEC� KDDI와 공동으로 지하에서도 길 안내 가능 내비게이션 시스템 개발

- 2004년 10월 휴 전화로 지하상가나 옥내에서 길잡이를 해주는 3차원 내비게이션 시스템을 개발

Xanavi 회사개요� 일본의 DVD, CD, 내비게이션 전문생산업체로 주로 닛산 닛산, 인피니티, 르노삼성의 SM5와 SM7에 탑재

� 유럽 닛산의 Pathfinder에 장착한 DVD 내비게이션

회사개요 � 후지쓰 계열로 카 내비게이션, 카오디오, 홈오디어, real-time simulator 등을 생산

ANV6600� 6.5인치 TFT 디스플레이/Dual-DVD 멀티소스 리시버

� DVD +CD 미케니즘/CD/CD-R/RW, WMA and MP3

� 20GB 1.8" HDD/7인치 TFT 디스플레이 터치스크린

ANX 7000 � 32,000 이상의 지도 컬러/약 20,000개의 landmarks

� 4백만개의 POI/CD/CD-R/RW, MP3, WMA

Aisin AW 회사개요� 도요다자동차 계열로 일본 유수의 자동차 부품업체

� 2004년 710,00 의 내비게이션을 공급

회사개요 � 일본의 오디오/비주얼, 카 내비게이션, 차탑재기 제조사

MAX960XD� 초정 와이드형 VGA 디스플레이 장착으로 DVD비디오, 지상파디지털TV방송의 고화질 상을 구현

� 동적내비게이션(DRGS) 서비스로 정체도로 우회기능

NVA660HD� 와이드 6.5인치 TFT 디스플레이/336,960화소

� GPS 수신 주파수: 1575.42MHz

<표 13> 유럽 및 만업체의 제품별 현황

업 체 제 품 특 성

회사개요� 1991년 암스테르담에서 사업을 시작하여 현재 네덜란드에 본사를 둔 세계적인 개인용 휴 내비게이션 전문업체로 2005년 총

매출액은 6억 1,400만 달러를 기록

GO 910� MP3 플레이어, 20GB Hard Disk Storage/4인치 LCD 터치스크린

� 유럽전역, 북미지역의 지도 내장

Navigator-5� PDA 내비게이션

� 위치와 주소를 찾기 위한 가장 빠른 경로 탐색 알고리즘, 실시간 맵 제공, 그리고 빠른 검색 등의 기능을 가능하게 함

Mobile 5� 사용하기 쉬운 인터페이스/30개국 이상 언어 지원

� 메인메뉴로부터 직접 POI를 부를 수 있음

회사개요 � 세계 유수의 infotainment 시스템 업체로 독일에 본사가 있음

� DRGS 기능 내비게이션/crystal-clear radio redeption

� MP3 playback and Speed Limit function

� CD/MP3/WMA 플레이어/2-RDS 튜너, TMC 구비

� DRGS 기능 내비게이션/Bluetooth Telephone, iPod Ready

� OLED-Vario 칼라 디스플레이(15칼라 세팅)

� 167MHz프로세서, 32 Bit RISC 아키텍처, 64MB RAM

� CD-ROM quad-speed Drive, MP3 Playback from CF cards

Blaupunk(네덜란드) TravelPilot Lucca MP3� 네덜란드에 소재한 세계 유수의 infotainment 시스템 업체로 DRGS 기능 내비게이션 TravelPilot Lucca MP3 출시

� crystal-clear radio redeption, MP3 playback and Speed Limit function/CD/MP3/WMA 플레이어, 2-RDS 튜너, TMC 구비

회사개요 � 만의 휴 용 정보통신기기 전문업체로 만, 중국, 유럽 등지에서 PDA, 내비게이션, PMP, DMB 등 다양한 모바일제품을 생산

Mio 168+ � DRGS 기능 내비게이션/국내에선는 LG상사가 수입하여 판매중

미오 136� 전화 번호 데이터를 활용한 목적지 검색, 관광지와 휴양지 등에 표기된 JG코드 검색, 테마별 정보 검색 등 다양한 부가기능 제공

� 수시로 바뀌는 정보에 한 업그레이드도 편리하고, 1GB SD 메모리를 포함

미오 268

� 게임, 차계부 등의 전자수첩 기능과 MP3플레이어, 화 및 동 상 플레이어 기능을 구비

� 윈도CE닷넷을 운 체제(OS)로 사용하고 있고, 음성인식 기능을 제공해 목적지를 말하기만 하면 쉽게 검색할 수 있으며, 바로

길 안내

Fujitsu Ten

Clarion

TomTom

(네덜란드)

Becker(독일)

MioTechnology

( 만)

Bec-Indian 7922

Becker Cascade7941

Industry Trend

Page 42: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

44 IT SoC Magazine

Ⅳ. 결 론

내비게이션은 국내에서 2000년 초반에 뒤늦게 도입되었

으나 저렴한 가격과 편의성 등으로 급속도로 보급되고 있다.

내비게이션은 주 5일제 근무제 도입에 따른 레저문화 활성화,

기능의 편리성(정확한 길 안내, 음식점 및 유명 관광지 찾기,

TV보기, 화와 음악 재생 등), 20~30만원 의 저렴한 가격,

새로운 제품에 한 국내 소비자들의 높은 관심, TV 홈쇼핑과

온라인쇼핑몰 등 한국형 유통체제 등에 힘입어 고성장을 기록

하고있다.

국내 내비게이션 관련 업체는 기본적인 GPS기능을 갖춘

동 상 재생 및 MP3 Player 기능 등의 컨버전스화된 다양한

제품들을 시장에 선보이고 있다. IT기기의 컨버전스화 경향은

내비게이션 기기에도 나타나고 있으며 앞으로 그 경향은 꾸준

할 것으로 전망된다. 하지만 컨버전스화됨에 따라 내비게이션

고유의 기능이나 DMB나 PMP와 같은 기기의 고유의 기능이

완벽하지 않으며, 소비자의 수요 경향 자체도 바뀌기 때문에,

내비게이션 관련 업체들은 초저가∙초소형 단순 내비게이션

기능 기기를 시장에 제공하여 시장확 에 노력을 기울이면서

많은 기능이 통합된 기기 성능을 높여 시장의 다각화를 이루어

나가야할것이다.

내비게이션은 단순히 길안내를 해주는 도구가 아니라 삶의

여유를 주는 문화 도구로도 각광받고 있고, 앞으로 음악, 동

상, DMB 등 엔터테인먼트와 정보서비스, 유비쿼터스 통신 기

능까지 더해져 다양한 서비스가 가능해질 것으로 전망되고 있

다. 인터넷 정보서비스와 자동차 정보서비스, PC∙DMB∙

PDA∙휴 폰 등과 연계돼 각종 생활정보 확인도 가능해지고,

홈 네트워크 시스템과 연동돼 차량 안에서 집안의 여러 기기들

을 제어할 수도 있게 될 것으로 예측되고 있다. 차량안전과 보

안 날씨 위치 증권 등의 생활정보서비스와 교통정보서비스 등

각종정보를연계함으로써다양한부가서비스도창출될것이다.

카 내비게이션 산업은 전기, 전자, GPS, 통신, 자동차를 비

롯한 다양한 산업이 융합됨으로써 구현이 가능한 분야이다. 이

같은 특성 때문에 카 내비게이션 시스템을 성공적으로 구축하

기 위해서는 센서, 단말기, 응용서비스, 자동차 분야 등 다양한

산업이 균등하게 발전되어야 한다. 카 내비게이션 산업은 기술

적 측면이외에 막 한 파급효과를 들 수 있는데 모든 국민이

이용자가 되는 광범위한 시장을 형성시킬 수 있는 분야이다.

우리의 국민수준이 선진국에 진입하면서 자동차가 기본적인

이동수단을 벗어나 편리성과 안전성에 한 욕구가 높아지고

있다. 기술의 고도화와 상용화가 일건에 달성될 수 없어 향후

에개발할카내비게이션분야의발전가능성이매우크다.

우리나라에서도 국가적인 측면에서 카 내비게이션 산업을

육성할 수 있는 기술개발 및 자금지원 책이 요구된다. 국내

의 경우 카 내비게이션 산업과 관련하여 초기시장을 형성시킨

것은 도로교통 안내로, 국내업체에서는 교통정보 수집 및 가공

을 실시하여 이용자에게 서비스를 제공하고 있다. 카 내비게이

션 산업과 관련 기술을 성공적으로 상용화시키기 위해서는 복

합산업 분야간의 긴 한 협조체제 구축이 필요하다. 카 내비게

이션 산업 발전은 국내 자동차 및 전자부품산업의 국제 경쟁력

강화에크게기여할전망이다.

[참고문헌]

[ 1 ] 전자신문, 2007.4.10

[ 2 ] EIC, "Navigation 단말기 시장 동향“, 2006.4, p.18

[ 3 ] Telematics Research Group, 2006

[ 4 ] Strategic Analytics, 2006.12

[ 5 ] 디지털타임스, 2007.3.28

[ 6 ] EIC, "TPEG 서비스 개요 및 한국 서비스 동향“, 2007.3, pp.1~2

[ 7 ] 디지털타임스, 2007.3.22

[ 8 ] 문화일보, 2007.2.26

업 체 제 품 특 성

미오 168

� PDA에 지도 소프트웨어를 탑재해 내비게이션으로 사용할 수 있도록 만든 제품

� GPS 내장으로 별도로 구매할 필요가 없음

� 한손에 쏙 들어오는 크기와 다양한 PDA의 기능을 그 로 사용 가능

C310

� SiRFstarⅢ GPS 리시버/MP3 플레이어 내장

� USB 케이블, Map DVD, 애플리케이션 CD 등 다양한 액세사리

� 언어선택 및 Voice Guidance

자료 : 각 업체 홈페이지, 2006

Industry Trend

Page 43: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Focus On Navigation 45

팅크웨어 내비게이션 단말기

기업소개

1. 귀사를간략하게소개해주십시오.

팅크웨어(www.thinkware.co.kr)는 1997년 설립하여 2000년 국내 최초의

PDA기반 내비게이션 SW인 아이나비320을 개발하여 불모지나 다름없었던

국내내비게이션시장을개척한 first mover 입니다.

당사의 브랜드 아이나비는 초기 얼리어댑터 성격의 매니아 계층을 중심으

로 브랜드가 확산되어 갔으나, 이제는 일반 중들도 쉽게 인지하는 내비게이

션의 표브랜드‘아이나비’로자리매김하게되었습니다.

아이나비가 프리미엄 브랜드로 인정받고 소비자들의 높은 평가를 이루기

위해서는뛰어난기술력이밑받침되어야합니다.

당사는 국내 최고의 정확도를 자랑하는 전국 디지털 항법 지도인 아이나비

맵을보유하고있으며, 자체개발한공간검색엔진및경로탐색엔진을기반으로

차별화된내비게이션SW를제공하고있습니다.

또한 전용단말기부터 컨버젼

스된 멀티미디어 단말기까지 시

장세분화에 따라 다양한 제품들

이 개발되었으며, 이는 내비게이

션SW와 결합된 제품으로써

모두 팅크웨어의 개발진에 의해

자체 개발되어 특허 등을 통해

독점적인 권리를 확보하고 있습

니다.

또한, 소비자들이 손쉽게 제

품을구매할수있도록총판 리

점, 형마트 등의 오프라인 채

널과 자사 및 제휴쇼핑몰 등의

온라인채널등다양한유통채널

을 구축하 으며, 업계 최 규모

의 Call Center와 전국적인 직

AS망을구축하여고객님께최상

의서비스를제공하기위해노력

하고있습니다.

당사의 아이나비는 이러한 뛰

어난 기술력과 전자지도와 SW

의 지속적인 업그레이드를 포함

한 서비스를 바탕으로 고객만족

경 을 실천함으로써 동종 비

고가임에도 불구하고 고객이 가

장많이찾는내비게이션으로선

택되어 지고 있으며, 앞으로도

‘내비게이션은 역시 아이나비’

라는소비자의마음속에늘자리

잡는 표브랜드로 성장할 것입

니다.

2. 귀사의내비게이션단말기를소개해주십시오.

소비자의 다양한 니즈를 반 한 결과물로써 차 안에서 뿐만 아니라 차 밖에

서 이동 중에도 DMB를 시청하기를 원하는 내비게이션 유저들을 상으로

출시된 아니나비SMART, 다양한 기능들이 컨버전스 된 단말을 선호하고 유

행을 선도하는 유저들을 상으로 PMP기능을 극 화하여 출시된 아이나비

STAR, 복잡한 기능보다는 심플하고 내비게이션 본연의 기능에 충실한 제품

을 선호하는 유저들을 상으로 출시한 국내 최초 신개념의 PNS(Personal

Navigation System) 내비게이션 아이나비UZ 등을 개발하 으며, 이러한 차

별화된 제품들이 출시될 수 있었던 것은 항상 고객의 입장에서 먼저 생각하

기에가능할수있었습니다.

3. 귀사의아이나비맵의장점과특징은무엇입니까?

아이나비 맵은 국내 최 규모인 4,000여 만건의 위치정보 데이터(명칭, 전

화번호, 주소 등)가 포함된 전자지도로서 국내 내비게이션 맵 중에서도 맵

데이터의 정확성이 가장 뛰어난 것으로 평가받고 있습니다. 특히, 정기적으

로 무상 서비스 중인 맵데이터 업데이트는 신설되거나 확장되는 도로데이터

외 안전운행데이터, POI 데이터 등의 실시간적 반 을 목표로 제공되고 있

어 최신 맵 데이터를 가장 먼저 서비스하고 있습니다. 무엇보다 아이나비 맵

의 가장 큰 장점은 100여만명의 고객들이 이미 선택했고, 현재 함께 만들어

가고있는내비게이션맵이라는것입니다.

4. 해외진출현황에 해말 해주시기바랍니다.

2002년에는 내비게이션SW로는 국내 최초로 그리스에 15억원 가량의 내

비게이션 엔진을 수출, 유럽 시장 진출의 시발점이됐으며, 2006년에는자동

차전방부품업체인독일하먼베커사에ODM(제조업자설계) 방식으로200억원

이넘는 규모수출을진행하 습니다.앞으로 더 많은 해외 성과를 이루어내

기 위해 국내에서 성공한 비즈니스 모델, 소프트웨어와 하드웨어의 결합

된 제품을 토 로 해외시장 환경 변화와 국가별 특성을 커스터마이징 하여

공략함으로써해외시장의일정부분을확보하리라봅니다.

유럽 지역의 수출은 팅크웨어의 브랜드 세계화에 시발점이 될 것이며, 유

럽향 모델인 ThinkNavi(팅크나비)를 통해 향후 유럽 시장 확 및 로벌 회

사로도약할것입니다.

5. 향후내비게이션단말기분야의전망과이에따른귀사의전략이나비전에 해말 해주시기바랍니다.

내비게이션 시장은 향후에도 년 평균 150만 의 순증가를 할 것으로 예

측되고 있으며 2009년에는 누적사용자가 약 700만명에 달할 것으로 전망

하고 있습니다. 또한 시장규모가 커지면서 기업 및 HW 전문기업들의 시

장진입과 BM(Before Market, 차량출고전 장착된 내비게이션 시장)의 자동

차 계열 업체들의 시장 진입이 예상되고 있습니다. 그러나 내비게이션 시장

은 경쟁력 있는 주요 업체들로 안정화 될 것이며, 전자지도 및 SW와 컨텐츠

가 중요한 경쟁력이 될 것으로 예상, HW위주의 진입을 계획하는 기업은 쉽

게자리잡기는힘들것으로예상하고있습니다.

당사는 이러한 급격한 환경변화와 기업과의 경쟁 구도에서 이겨내기

위해 자사의 브랜드, 아이나비를 지속적으로 강화할 것이며, 최고의 정확도

를 자랑하는 아이나비맵을 기반으로 내비게이션SW와 HW의 결합된 제품

을 개발하여 국내 내비게이션 시장 점유율 1위를 유지하도록 끊임없이 노력

할것입니다.

강정규실장

경 지원실

<아이나비 SMART>

<아이나비 STAR>

<아이나비 UZ>

www.thinkware.co.kr / 02-3433-1600

Page 44: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

시터스 내비게이션 SW 개발 기업 (맵 제조)

1. 귀사의 표제품인 내비게이션 SW “루센맵”을 소개해주십시오.

루센 : Rousen, 차세 멀티 플랫폼 내비게이션 소프트웨어

루센은 루트(Route)와 센스(Sense)의 복합어로 '나의 운전을 더욱 편하고 신

속하게 도와주는 센스있는 내비게이션'이라는 뜻을 갖고 있습니다. 새로운

라이프 스타일의 발견이라는 컨셉으로 만들어진 루센은 원하는 곳 어디서나

쉽고 자유롭게 다양한 컨텐츠와 기능 등을 서비스 받을 수 있는 제품으로서

시터스의 로벌 지향적인 목표를 실현시킬 시터스의 가장 핵심적 솔루션입

니다.

출시 이후 현재까지 30여 개의 단말기에 맵 소프트웨어로 탑재될 만큼

새로운 전자지도에 목말라 하던 내비게이션 제조업체로부터 큰 인기를 얻고

있습니다. 또한 면형화가 완료된 전국 지도 및 국내 최 수준급에 속하는

풍부한 POI DB, 지번 데이터, 강력한 전화번호 DB, 그리고 전국 구석구석의

안전운행 정보 등의 충실한 인프라 DB를 확보하고 있습니다. 특히 유료도로

포함 여부는 물론, 피해서 가고 싶은 곳을 정할 수 있는 회피지 선택기능, 내

가 선호하는 도로를 선택할 수 있는 선호도로 선택기능, 각 경유지 사이의

탐색 방법을 다르게 선택할 수 있는 구간별 다중탐색 기능을 적용시켜 개개

인의상황에따라최적의경로탐색으로길안내를받을수있습니다.

뿐만 아니라 새로운 그래픽 엔진 적용으로 디스플레이 속도가 향상되었으

며 복잡한 교차로는 실제 교차로를 보는듯한 입체적인 이미지로 처리하여

한차원 높은 직관성을 제공하며 자유로운 3D 시각조절기능 등 고객의 안전

운전을위한다각적인노력이엿보이는제품입니다.

2. 지난 2월 중국 DMB 방송 사업자 북경위에롱사와 티펙서비스독점계약을체결하셨습니다. 중국시장진출의성공요소는무엇입니까?

시터스는 4년 전부터 로벌 내비게이션 시장 진출을 목표로 현지 S/W

개발 및 파트너사 발굴을 위해 준비해 왔습니다. 이렇게 중국시장에 성공적

으로 진입할 수 있었던 배경은, 이 시장에서 가장 중요한 것은 맵기술력이라

고 굳게 믿고 오로지 S/W만을 끝까지 고집하는 선택과 집중의 결과라고 생

각합니다. 내비게이션은 그 나라의 지도 특성에 맞게 각각 차별화돼 있기 때

문에 문화라고 생각합니다. 그래서 SW는 우리가 만들고 단말기는 현지에서

만드는 전략으로 중국을 공략했습니다. 이런 기본적인 철학을 바탕으로 중국

에 현지법인을 설립했으며, 올해부터 본격적으로 해외사업 즉 세계경 에 더

욱매진한다는복안입니다.

3. 내비게이션 맵 제작에서 가장 중요한 요소는 무엇이며,귀사는 그 요소를 확보하기 위해 어떤 노력을 하고 있습니까?

우수인재가 맵제작에 있어 가장 중요한 요소입니다. 시터스는 소프트웨어

만 집중하기 때문에 기술개발과 인건비가 주를 이룹니다. 고급인력 확보를

위해서는 내외적으로안정적인브랜드및회사인지도가필요합니다.

2007년을 홍보마케팅 원년의 해로 계획을 잡고 누구나 입사하고 싶은 회

사로, 내비게이션 시장에서 확고한 자리매김을 하기 위해 최선을 다할 것입

니다.

4. 향후내비게이션SW 분야의전망과이에따른귀사의전략이나비전에 해말 해주시기바랍니다.

향후 방향은 "컨버전(Conversion, 프로그램 호환성)입니다. TV, 게임, 노래

방 등 전세계적으로 모든 프로그램이 다 통해야 합니다. 택시 관제 프로그램

에 관심이 있으며 택배, 물류, 무인 자동차까지 바라보고 기술을 개발하고 있

습니다.

향후 루센은 다양한 콘텐츠를 기반으로 고객을 늘려간다는 방침입니다. 즉

길을가다가밥을먹고싶으면맛있는식당의위치를알려주는등의콘텐츠를

강화해고객들의수요를끊임없이창출해낸다는계획입니다.

내비게이션 SW 시장은 지난해에 비해 올해 150% 정도 성장할 것으로 전

망하고 있으며 국내사업에서 해외사업으로 무게를 싣는 원년으로 삼고 올해

에는 중국에 이어 유럽과 베트남, 러시아와 호주에도 현지법인을 세워 해외

진출을본격화해해외에서만 1000만달러의매출을올릴계획입니다.

또 해외사업에 많은 경험과 노하우를 갖고 있는 시터스는 앞으로도 내비

SW 전문회사의길을절 외도하지않을것입니다. 내비SW만으로성장하는

회사, 국내 국한된 사업이 아닌 세계경 에 깃발을 꽂는 회사로 성장하는 것

이시터스의미래상입니다.

46 IT SoC Magazine

기업소개

<이정표 기능이 적용된 루센 1.5v>

<건물색상 및 패턴이 적용된 3D화면>

이종오연구소장

기술연구소

www.citus.co.kr / 02-2109-3999

Page 45: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

매직아이 내비게이션 솔루션, MMSP2+ Navigation reference kit

기업소개

1. 귀사의“MMSP2+ Navigation reference kit ”을소개해

주십시오.

올해신규출시된MMSP2+ 칩을기반으로내비게이션시스템을보다쉽고

빠르게 개발할 수 있도록 도와주는 개발툴입니다. 이 Navigation Kit는 DMB,

PMP, GPS 등 최신형 멀티미디어 내비게이션에서 필요로 하는 기능을 모두

지원하고 있고, 한화면에서 내비게이션과 DMB방송을 동시에 즐길 수 있는

PIP 기능, 두개의 각기 다른 화면을 동시에 보여줄 수 있는 듀얼 디스플레이

기능 등 멀티기능구현이 가능하며, 특히 TPEG 서비스 수신용과 DMB 시청용

으로 2개의 DAB모듈이 각각 장착되어 있어 DMB 채널을 변경해도 TPEG 서

비스가끊어지지않도록설계된것이특징입니다. 또한최신맵을지원할수있

도록800x480 해상도의 7" TFT LCD가장착되어있고, 다양한크기의LCD와

외부 아날로그 TV를 지원하도록 되어 있습니다. 기본 navigation용 참조보드

외에Windows CE 5.0기반의SD, MMC 메모리카드, 4개의UART, USB 2.0

등각종드라이버와샘플어플리케이션및오픈GL-ES 관련개발환경이함께

제공되어3D 그래픽엔진을이용한다양한어플리케이션을개발도가능합니다.

2. 귀사의“MMSP2+ Navigation reference kit”의 장점과

앞으로보완해야할점은무엇입니까?

MMSP2+ Navigation reference kit은 최신 내비게이션 기능에 맞게 최

적화시킨 플랫폼으로서, 내비게이션 기능과 PMP, DMB까지 포함하는 멀티

미디어 내비게이션 시스템을 쉽고, 빠르게 개발할 수 있도록 도와 주기 때문

에 2D 기반의 단순 내비게이션 개발 업체들은 800x480 고해상도 맵과

TPEG, 3D맵 등 차별화된 내비게이션 개발을 위해 드는 수고와 시간을 최소

화할 수 있는 것이 장점입니다. 현재 국내 T-DMB 및 일본 1-Seg 기능은

구현되어있으며, 향후 DVB-H 모바일 TV 기능을 구현할 수 있도록 SW를

확보하여솔루션으로공급할계획입니다.

3. 내비게이션 솔루션 분야에서 가장 중요한 요소는 무엇이며,

귀사는그요소를확보하기위해어떤노력을하고있습니까?

내비게이션 솔루션은 맵의 성능과 기능을 제 로 구현하는 것이 가장 중

요하기 때문에, 현재 매직아이는 800x400 해상도의 최신 맵 기능과 성능이

제 로 구현될 수 있도록 주요 맵 업체와 함께 MMSP2+ 기반에서 최적화하

는 작업을 진행 중이며, MMSP2+의 3D 그래픽 가속기를 이용하여 보다 부

드럽고 빠른 맵을 표현할 수 있도록 협력 중에 있습니다. 또한 최근 실시하

고 있는 TPEG 서비스 기능을 시스템 업체들이 보다 편리하게 구현할 수 있

도록TPEG 솔루션전문업체및각종방송사와도협력하고있습니다.

4. 향후내비게이션솔루션분야의전망과이에따른귀사의

전략이나비전에 해말 해주시기바랍니다.

내비게이션은 PMP 기능과 DMB와 같은 모바일 TV 기능이 합쳐져 컨버

젼스화 되고 있고, 점차 인터넷과 텔레메틱스와 같은 통신기능까지 포함될

것으로 예상됩니다. 이에 응하여 최신의 내비게이션 기능에 맞춰 각종

SW솔루션까지 포함된 최적의 솔루션으로 시스템 업체에 제공해야 짧아진

제품주기에 맞게 적기에 제품을 출시할 수가 있습니다. 매직아이는 SoC 전

문회사로서 타겟 시스템 시장에서 요구하는 최적의 SoC 솔루션을 고객에게

공급하는 것을 목표로 하기 때문에 한국형, 일본형, 유럽형 내비게이션의 기

능 발전 추이에 따라 내부적으로 관련 SW 기술력을 확보해나갈 계획입니

다. 또한 칩회사로서 맵이나 맵엔진업체, 텔레메틱스 같은 서비스 업체와 교

류하면서 최신정보를입수하여 시장의 변화에 빠르게 처하려고합니다. 매

직아이가 솔루션을 공급하는 것은 최종 자사칩의 시장점유율 확 에 있습니

다. 타겟 시스템을 위한 최적의 솔루션을 적기에 제공하는 것이 시장지배력

을 가질 수 있다는 일념으로 솔루션 기술력을 확충하여 내비게이션 시스템

시장에서메인칩셋공급업체로선두에서겠습니다.

Focus On Navigation 47

<MMSP2+ Navigation reference kit>

강태원CTO

연구소

www.mesdigital.com / 031-788-0300

Page 46: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

내비게이션(Navigation System)은 현재 위치로 부터 목적지까지

의 거리 및 교통 상황을 고려하여 선택한 최적의 경로를 따라 안내

를 하는 도로 및 교통정보 제공 시스템이다. 시스템은 크게 위성항

법시스템의 전파를 받아 현재 위치를 계산하기 위한 수신기(GPS 안

테나), 도로 및 경로 정보를 제공하기 위한 전자지도, 도로와 교통

상황을 고려하여 최적의 경로를 계산하고 안내하는 소프트웨어, 경

로 정보를 화면에 보여주기 위한 정보 단말 및 저장장치 등으로 구

성된다.

□ 단말기 내부시스템

카 내비게이션 단말기의 내부시스템은 본체, 무선송수신 시스템(GPS,

무선모뎀부, 기타 무선 송수신부), 내비게이션부, 주변장치 등으로 구성

되어 있기 때문에 제품화 단계에서 모듈화 작업이 필요하다. 단말기 모

듈의 주요 역할은 다음과 같다.

o 본체 : 각 모듈의 S/W를 탑재하여 컨트롤하는 역할

o 무선송수신 시스템

- GPS : 차량위치 확인(경로검색 및 긴급시 위치 통보)

- 무선모뎀부 : 송수신기 역할(서비스센터로부터 정보 송수신)

- 기타 무선 송수신 : 수신기 역할(교통정보 획득)

o 내비게이션부 : 경로탐색 등(현재 위치 및 경로 표시)

o 주변장치 : 멀티미디어, MP3, 외부 인터페이스 등

□ 무선송수신 시스템

무선 송수신 시스템은 GPS 단말부, 무선모뎀, 기타 무선 수신부 등으

로 구성되어 있고 기본적으로 송수신시스템은 GPS, 무선모뎀을 장착하

고 있으며, 무선 송수신부는 국가나 업체에 따라 장착여부를 결정한다.

GPS 단말은 GPS 위성의 신호를 받아 수신기의 위치, 속도, 시각을 파

악하는 장치이며, GPS 단말기는 크게 아래와 같은 기능을 갖는다.

o 위성의 포착, 의사거리 측정, 위성 메시지 해독 등을 통해 위치를 파

악하고, 기본기능에 포함되는 위성 포착 기능은 추적 가능한 위성을

포착한다.

o 단말기는 Almanac 정보를 기억하여 이 정보와 현시점에서의 각 위

성의 위치와 속도를 계산하고, 그 계산 결과로부터 포착할 위성을

결정한다.

o 의사거리 측정은 위성신호의 송신, 수신 시간차와 수신기 내부의 고

유시간 지연 등을 감안하여 의사거리를 산출하는 기능을 갖는다.

본고에서는 내비게이션 단말기의 핵심인 무선송수신 시스템 중에서

GPS 단말부를 구성하는 핵심부품을 알아본다. GPS 단말부는 크게

GPS 수신기 모듈, 안테나, S/W로 구성되어 있다.

가. GPS 수신기 모듈

GPS 수신기 모듈은 고주파부, 신호처리

부, 마이크로컴퓨터부 등 3개의 블록으로

구성된다.

- 고주파부는 안테나로 수신한 1.2GHz

또는 1.5GHz의 신호를 취급하기 쉬운

낮은 주파수로 변환한다.

- 다음의 신호처리부에서는 스펙트럼 확산을 원래 로 복원시키는 역

내비게이션시스템구성요소및기능

Guide toNavigation

48 IT SoC Magazine

주: (a) 자동차 운전자용 내비게이션, (b) 선박용 내비게이션, (c) PDA 및 스마트

폰 탑재형 내비게이션, (d) 위성항법시스템 수신기(GPS 안테나)

<그림 1> 내비게이션 단말 및 부속장치

(a) (b)

(c) (d)

Page 47: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

확산을 하여, 위성으로부터 보내져 오는 메시지와 의사거리를 얻는다.

- 마이크로컴퓨터부에서는 신호 처리부로부터 얻어진 메시지와 의사거

리에서 위도, 경도, 고도 및 현재의 위치를 구하는 연산을 한다.

가장 단순한 1채널 수신기에서 측량용의 10채널 이상까지 제작할 수

있는데 1채널 수신기의 경우 순차적으로 위성을 포착하는데 비해 4~5

채널의 경우 연속적인 포착이 가능하다. 1채널 수신기는 각 위성에 한

의사거리의 동시 확보가 곤란하여 위치 오차가 큰 단점이 있고, 2~4채

널의 경우 감도가 좋은 위성에 1채널을 할당하고 나머지는 시분할하여

나머지 위성을 포착한다.

측위의 정확도는 마이크로컴퓨터의 프로그램에 크게 좌우되며, 세계

적으로 GPS 알고리즘은 미국을 중심으로 10여개 업체만이 보유하고 있

는 첨단분야이다. 국내에서도 활발한 연구가 진행중이나, 부분 GPS

수신기를 이용한 차량 단말 개발에 치중하는 형편인데, 최근 미 E911이

법제화가 추진되어 이동통신 단말기 분야로 다수업체가 개발중이다.

나. GPS 안테나

GPS 안테나는 위성으로부터 전파를 수신하고 고주파 회로에 의해 신

호를 출력하는 역할을 담당한다. GPS 수신전파가 단히 미약하므로 손

실이 적은 안테나 개발이 요구된다.

다. 국내의 주요 GPS 수신기 및 안테나 개발현황

[참고문헌]

[1] 국내외 내비게이션 시장 현황 및 전망, 한국전자통신연구원,2007. 2 .

Guide toNavigation

Guide to Navigation 49

Navigation 시스템구성요소및기능

<GPS 안테나 내부 구성>

업체명 제품명 주요내용

네비콤

삼성전기

ADD-Tec

팅크웨어

GIS 소프트

한빛IT

엘렉스테크

세원텔레콤

엑시엄GPS

컴뮤웍스

한원M/W

선우

- 국내 GPS 알고리즘 개발업체- 미사일, 로켓용 GPS 수신기(Translator) 개발

- 실내, GPS 미수신지역 등에서인공위성을 체 가능한 초정항법시스템 (Pseudolite) 개발

- 다중모드 SAW필터에GPS 기능을추가- GPS 송수신 기능을 추가한 칩 안테나개발중

※ 사이즈는 15×7mm

- 국방과학연구소 출신이 주축이 된벤처기업

- PERS는 내비 기능을 가지는 GPS단말기

- GIS업체로서 GPS 수신기유통사업으로확장

- 만 로열텍의 국내 공급 계약 체결

- 2001년 5월 PDA용 GPS 수신기 발매

- 컴팩의 아이팩의 확장용 모듈에 채택중- 2001년 3월 월 500 에서 3,000 로확

- 2001년 2월 PDA용 모듈을 자체 개발※ 모든 PDA에 채택 가능한 유니버셜

타입도 개발

- 2001년 11월부터 2003년 4월까지OEM 방식으로 GPS 단말기를 미Wherify Wireless사에 공급※ 손목시계형으로 마이보호서비스로

운용

- 2001년 8월 PDA∙핸드PC의 컴택트플래시 (CF) 타입의 초소형GPS수신기(Type-1) 양산※ GPS 수신주기를 조절해 전력소모를

80% 절감※ 실장면적 70% 감소

- 0.9×0.9mm 패치 안테나 개발※ 마이크로 스트립 안테나와 LAN으로

구성※ 실장면적을 70% 감소

- 기존 13×13→10×10mm로 제품개발중

- GPS 안테나를 개발하여 북미, 중국진출을 계획

GPSTranslator,Pseudolite

SAW복합,칩안테나

EPRS

GPS수신기

iGPS

GPS모듈

GPS모듈

GPS단말기

GPS단말GPS안테나

GPS안테나

GPS안테나

GPS안테나

LNA

주파수�변환회로�내장

저�노이즈�앰프�내장

전원

KFA

LFA

LNA

<GPS수신기의 구성>

안테나에서고주파부 신호

처리부

마이크로컴퓨터부

시계

중간주파수

메세지

의사거리위치�데이터�출력

위도,�경도,�고도(방위,�속도)코드제어

안테나로부터

고주파용�IC

신호처리용�IC

측위결과

PLL

DSP

CPU

RTC

UART

OSC

IF�1MHz~4MHz

ROM RAM

1575.42MHz

Page 48: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

50 IT SoC Magazine

�GPS 내비게이션

GPS가 제공하는 위치추적기능에 사용자가 시각적으로 볼 수 있는 지도와

함께 길안내를 해주는 기능을 가진 장치이다. 요소기술로는 전자수치지도,

차량위치추적시스템, 해딩업과 노스업, 맵매치, 경로탐색, GPS 등이 있다.

국내 내비게이션 관련 업체는 기본적인 GPS기능을 갖춘 동 상 재생 및

MP3 Player기능등의컨버전스화된다양한제품들을시장에선보이고있다.

�내비게이션 구동방식

내비게이션은 GPS 방식이 부분이었으나, 1994년부터 하이브리드

(hybrid) 방식이 증가하여 현재 50% 이상 채택한다. GPS 방식은 항법화면

(지도 소프트웨어)에 차량의 좌표를 표시하기 위해 3개의 위성으로부터 동

시 측위를 필요로 하는데 단점으로는 전파가 닿지 않는 장소에서는 현재측

위위치(location)를 할 수 없다. 하이브리드 방식에서는 GPS 방식에 추가하

여 자립항법을 사용하여 현재측위위치(location)를 하는데, 자립항법에서는

자이로 센서(Gyro Sensor)나 거리센서를 사용하여 자동차의 방향, 이동거

리를 산출해서 차량의 위치를 표시한다.

�전자수치지도(DRM : Digital Road Map)

속성데이터, 그래픽 데이터, 위상(Topology)데이터로 구분한다. 위상데이터

는 경로탐색(Routing), 지도배합(Map Matching) 등 내비게이션의 핵심기

능에 직접 향을 주므로 어떻게 설계∙구축하느냐가 제품 성공과 직결된

다. 전자수치지도가 반드시 갖추어야 할 사항으로는 업데이트의 용이성과

확장성이 있는데, 업데이트의 용이성이란 이미 기존에 구축된 전자수치지도

를 최소비용과 노력으로 신속하게 유지, 보수할 수 있는 것으로 현재 국내와

같이 신규 도로건설이 많은 나라에서 특히 요구된다. 확장성은 전자수치지

도에 단순한 항법기능만을 부여하는 것이 아니라 교통정보 수용, 내비게이

션 이외의 다른 ITS 분야에도 활용 가능하여야 한다.

�차량위치 추적시스템

DR(Dead Reckoning: 추측항법) 시스템은 관성항법장치 원리를 이용한 것

으로 자이로(GYRO) 센서, 휠 센서, 스피드 센서, 가속도 센서 등을 이용하

여 차량의 상 위치를 측정해내는 시스템이다. DR시스템도 미사일 추적 등

과 같은 군사목적으로 개발되었으나, GPS보다 훨씬 이전부터 일반 항법분

야(항공기나 선박)에 활발히 이용된 기술이다. 최근 GPS의 한계를 극복하기

위한 오차보정위치 측정시스템(DGPS)이 활발히 연구되고 있으며, GPS와

DR시스템의 단점을 상호 보완할 수 있는 하이브리드형 위치확인시스템도

활발히 연구, 적용되고 있다.

�헤딩업(Heading Up)과 노스업(North Up)

헤딩업이란 자동차 진행방향을 항상 화면 상단으로 고정하는 기능으로 지도

가 마치 나침반 같이 방향에 따라서 좌우로 회전하는 것이며, 노스업이란 헤

딩업과 상반된 개념으로 화면 상단의 북쪽으로 고정한 후 자동차의 위치가

이동하는 것이다. 헤딩업은 구현이 어렵지만 가장 큰 장점은 운전자의 방향

성을 일관성있게 유지시켜주는데, 운전자가 핸들을 오른쪽으로 꺽을 때 항

법시스템에 출력된 지도에서 차량이 동일한 방법으로 이동하며, 노스업의

경우에는 남쪽방향일 경우에만 반 로 작동한다. 헤딩업이 더 진보된 기술

이나, 일반적으로 사용자 편의를 위해 두 가지 기능이 모두 제공된다.

�맵 매치(Map Matching)

항법용으로 특수 제작된 지도는 여러 가지 이유로 인해 기본적인 오차가 발

생하는데 이를 보완하는 기술이 바로‘맵 매치’이다. 다양한 시스템으로부터

획득한 차량위치를 추적하여 전자 지도상의 정확한 도로와 연결시켜주어 추

측항법을 가능하게 한다. 맵 매칭은 추측항법 알고리즘의 개발뿐 아니라 전

자지도 데이터베이스와 접목하여 센서장비와 상관관계, 헤딩업과의 연계가

가장 중요한 요소로 작용한다.

�경로탐색(Routing)

제한된 하드웨어 성능, 전자수치지도라는 특수한 데이터베이스 환경과 접

한 관계가 있어 단순히 수치적인 알고리즘 적용은 불가능하므로 전자수치지

도 데이터베이스의 위상구조 설계, 알고리즘의 적용기술 등이 선행되어야

한다. 경로탐색의 기술난이도는 최단경로(Static Routing), 다중경로

(Alternative Routing), 최적경로(Dynamic Routing) 순으로 결정되는데,

특히 최적경로는 실시간 교통정보를 문선망을 통해 전달받아 현재 최적의

운전상황을 운전자에게 전달한다.

�위성항법시스템(Global Positioning System)

GPS는 1970년 초 미 국방부가 개발을 시작해 1990년 중반부터 기동

을 시작한 것으로 인공위성을 이용한 범세계적 위치결정체계이다. 지구상

어디에서나 기후에 구애 받지 않고 표준 좌표계에서의 위치, 속도, 시간 측

정을 가능하게 해주는 인공위성을 이용한 첨단 항법체계 이다.

Guide toNavigation

내비게이션관련용어쉽게이해하기

Page 49: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Guide to Navigation 51

�GPS의 원리

GPS가 어떠한 원리로 작동되는가를 이해하는 것은 개념적으로 매우 단순

하다. 근본적으로 GPS는 삼각측량의 원리를 사용하는데 전형적인 삼각측량

에서는 알려지지 않은 지점의 위치가 그 점을 제외한 두 각의 크기와 그 사

이 변의 길이를 측정함으로 결정되는데 반해 GPS에서는 알고 싶은 점을 사

이에 두고 있는 두 변의 길이를 측정함으로 미지의 점의 위치를 결정한다는

것이 고전적인 삼각측량과의 차이점이라 할 수 있겠다.

인공위성으로부터 수신기까지의 거리는 각 위성에서 발생시키는 부호 신호

의 발생 시점과 수신 시점의 시간 차이를 측정한 다음 여기에 빛의 속도를

곱하여 계산한다(거리=빛의속도×경과시간). 실제로 위성의 위치를 기준으

로 수신기의 위치를 결정하기 위해서는 이 거리 자료 이외에도 위성의 정확

한 위치를 알아야 하는데 이 위성의 위치를 계산하는데는 GPS 위성으로부

터 전송되는 궤도력을 사용한다.

�GPS 위성

각각의 GPS 위성은 기울임각(Incination Angle) 55。인 6개의 원형 궤도면

에 각각 4개씩 배치되어 있으며 지구 중심으로부터 26567.5km 상에 배치되

어 약 12시간의 주기로 지구 주위를 돌고 있다. 24개의 GPS 위성으로 구성

되어 있으며, 각각의 GPS 위성에는 세슘 원자시계와 루비듐 시계가 각각 2

개씩 장착되어 정 한 시간을 유지하고 있고, 각 위성마다 PRN(Pseudo

Random Noise) 코드라 불리우는 고유 코드를 발생하고 있어 이 코드에 의

해 위성들이 구분된다.

�GPS를 이용한 항법

3차원 상에서 사용자의 위치를 계산하기 위해서는 수학적으로는 x, y, z 세

개의 미지수를 결정해야 하며, 3개의 방정식이 필요하므로 적어도 3개의 위

성으로부터 신호를 받아야 한다. 그러나 GPS위성과 사용자간의 거리를 계

산하기 위해 경과시간을 측정하려면 위성과 수신기간에 시각이 동기되어 있

어야 한다.

아주 작은 시간의 오차에도 빛의 속도를 곱하게 되면 엄청난 거리 오차가

생기기 때문이다. 그런데 위성의 시계는 아주 정확한 원자시계를 가지고 있

지만 수신기는 값싼 시계를 사용하기 때문에 물리적으로 두 시계를 정확히

동기시키는 것은 현실적으로 불가능해진다. 이런 문제를 수신기에서는 수학

적으로 극복하고 있다. 즉 수신기에서는 x, y, z에 시간 t까지 포함하여 계산

을 하게 된다. t를 포함함으로써 위성시계와 수신기 시계를 동기시키는 것이

다. 여기서 결정해야 하는 미지수의 개수는 4개로 늘어나게 되고 방정식도

4개 이상이 필요하게 된다. 즉 사용자의 위치를 정확하게 계산하기 위해서

는 적어도 4개 이상의 위성으로부터 신호를 받아야 하는 것이다.

�유럽판 GPS ‘갈릴레오’프로젝트

갈릴레오 시스템은 극도로 민감한 원자시계기술을 주축으로 GPS보다 월등

히 향상된 정 도를 자랑한다. 상용서비스의 경우 보정시스템을 이용하면

10㎝ 오차 내로 위치측정이 가능하다. 유럽연합(EU)와 유럽우주국(ESA)은

2005년 12월 첫번째 시험 위성인 600㎏ 급 GIOVE-A(갈릴레오의 이탈리아

식 이름)를 발사했으며, 2010년까지 총 30개를 2만3,616㎞ 궤도에 올린다.

우리나라도 2006년 10월 중국과 이스라엘에 이어 EU와 3번째로 갈릴레오

협력협정을 체결하여 EU가 독자적으로 주도하는 갈릴레오 프로젝트에 참여

한다. 이로써 한국은 추후 상세협정을 맺고 500만 유로(약 61억7,000만원)

의 참여분담금을 내며 EU산하 위성항법시스템감독기구(GSA)에 가입하게 된

다. 이에 따라 2010년부터 서비스될 갈릴레오 시스템을 이용하는 권리를 보

장받는다. 한국은위성체나시스템개발에참여하는것은아니지만비행, 항해,

운전등에미국의기존GPS보다훨씬정 한신호를이용할수있게된다.

�GPS와 갈릴레오 비교

[참고문헌]

[ 1 ] 국내외내비게이션시장현황및전망, 한국전자통신연구원, 2007. 2

[ 2 ] 한국천문연구원홈페이지

GPS�위성

(위성과�수

신기의�거리)

(위성의�위

치벡터)

수신기

P

R

r

지구중심

(수신기의�위치벡터)

ρ

R : XYZ or 위도,�경도,�높이(미지량)

r : 위성에서�제공(천체역학�사용�계산)

�빛의�속도�x�경과시간(측정치)ρ:

R = r + ρ

분 류 GPS 갈릴레오

서비스시기

위성 고도

위성의 수

정확도

타 항법시스템연동

이용자편익

2010년 예상

23,616㎞

30개(3개 궤도에 10개씩 배치)

수평 4m, 높이 8m

GPS, 육상에 설치된 항법시스템 등과 통합

서비스 가능

현재 가동중

26,567㎞

24개(6개 궤도에서

4개씩 배치)

수평 13m, 높이 22m

없음

Guide toNavigation

1.�모든�위성의�시계는���정확하게�동일한�시각에���맞춰져�있다.

3.�각각의�위성은���자신의�위치와���시간�신호를�전송한다.

5.�거리시간차가���각각의�위성들�사이의���시간차를�만들어낸다.

4.�보내지는�신호는���각각의�거리�시간차를�두고���수신기에�도달한다.

2.�모든�위성은���시스템�컨트롤러로�부터�받은���데이터를�이용해���자신의�정확한�위치를�파악한다.

6.�수신기는�각각의�위성�사이의���거리를�계산하고�그들의����위치를�계산할�수�있다.

시간과�궤도�위치

- 미국과 유럽이 GPS와 갈릴레오 신호를 하나의 단말기로수신할 수 있도록 하는데 합의

- GPS와 갈릴레오 서비스 겸용 수신기를 사용하면 54기의위성을 이용할 수 있어 위치확인 정확도가 크게 향상

- 위치확인에 필요한 위성4기 이용확률이 50% 에서 95%로높아짐

Page 50: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

52 IT SoC Magazine

2007년도 SoC 신뢰성시험 지원 안내

■ 지원 개요

SoC 개발 중소벤처기업의 시제품에 한 성능 및 품질 확보를 위해 한국전자통신연구원 SoC산업진흥센터

에서는 종합적이고 체계적인 시험평가 서비스를 제공하고 있음

■ 지원 상

IT SoC 개발 중소벤처기업

■ 지원 내용

� HTOL 등의 수명시험, 온ㆍ습도 환경시험, ESD 및 Latch-Up 시험, 전처리시험 등 총 13개 품목에 한

시험 지원 제공

� 공인(KOLAS)시험성적서, 시험결과서 발행 및 시험보드 제작 지원

� 중소벤처기업의 시험비용 부담 경감을 위해 50%범위 내에서 최고 일천만원까지 비용 지원

■ 지원 일정

■ 신청 방법

�“SoC산업진흥센터 홈페이지(http://www.asic.net) > SoC산업지원 > SoC시험지원 > 신뢰성시험신청”에서

온라인 신청 (상세 내용은 홈페이지 참조)

■ 문의처

� SoC산업기술팀 박성천 (☎ 02-3433-6086, [email protected])

※ SoC 전기적특성 시험비용 인하 및 이용 안내

� SoC 전기적특성시험의 시험비용이 시제품 시험은 변경 전 300만원에서 변경 후 100 ~ 200만원으로,

소량양산품 시험은 시중가의 85% 수준으로 폭 인하되어 안내하오니 많은 이용바랍니다.

� 신청서는 온라인으로 수시접수하며, 접수처는“홈페이지 > SoC산업지원 > SoC시험지원 > 전기적특성시험

신청”에서 접수하고 있습니다.

구분 신청접수 선정통보 비고

1차 수시(1.1 ~ 2.28) 3월 19일※ 2차 지원으로 조기 마감될 수 있음

2차 수시(3.1 ~ 5.31) 6월 15일※ 11월 30일까지 시험 완료 가능 품목

3차 수시(6.1 ~ 8.31) 9월 15일

Page 51: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Announcement 53

SoC산업진흥센터IP기술지원안내

■ 지원 개요

시급성 파급효과가 큰 상용 IP를 도입하여 공동활용 할 수 있도록 지원

■ 지원 상

IT SoC 개발관련 중소벤처 시스템 및 설계 전문기업, 연구기관, 설계실습프로젝트참여 학

■ IP 보유 현황

● ARM core

● ARM PrimeCell

※ARM사 유지보수 지원 안하는 제품들(PL031, PL041, PL160)의 폐기사유가 이용율 저조이므로

폐기 예정된 IP도 설계자가 원할 경우 사용은 가능함

● 기타 IP

● 홈페이지 : http://www.asic.net

● 문의처 : SoC산업기술팀 이길용 (☎ 02-3433-6088, [email protected])

ARM922T 0.18um Dongbu, TSMC, SMIC

ARM922T 0.13um Dongbu, TSMC

ARM926EJ 0.18um TSMC

ARM926EJ 0.13um Dongbu, TSMC, SMIC

ARM7TDMI 0.18um TSMC, SMIC

ARM7TDMI 0.13um Dongbu, TSMC

PL011 Uart

PL022 Synchronous Serial Port

PL031 Real Time Clock ARM사 유지보수 지원 안함

PL041 Advanced Audio Codec I/F ARM사 유지보수 지원 안함

PL050 PS2Keyboard/Mouse Interface

PL061 General Purpose Input/Output

PL160 DC-DC Converter ARM사 유지보수 지원 안함

PL081 DMA Controller

PL092 Static Memory Controller PL241로 기능 upgrade

PL110 Color LCD Controller PL111 체예정 (ARM사 예정)

PL131 Smart card Interface ARM사 유지보수 지원 안함

PL172 SDR Dynamic Mem Controller PL242로 기능 upgrade

PL175 DDR Dynamic Mem Controller PL244로 기능 upgrade

PL190 Vectored Interrupt Controller

PL181 MM Card Host I/F ARM사 유지보수 지원 안함

PL220 External Bus Interface

PL241 AHB Static Memory Controller

PL242 SDR Dynamic Memory Controller

PL244 DDR Dynamic Memory Controller

ADK AMBA Design Kit

제품명 공정기술 사용 파운드리명

제품명 IP명 비고

IP명 제조사 비고 IP명 제조사 비고

Turbo8051 Mentor

USB2.0-OTG Mentor

PCI 66MHz/64bits CAST

Ethrernet MAC CAST

AES CAST

PCI Master/Target FTD

IEEE1394 FTD

USB1.1 FTD

USB2.0 로트렉스

H.264 Encoder 엠엠칩스 신규도입

Page 52: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

54 IT SoC Magazine

한국전자통신연구원 SoC산업진흥센터 IT-SoC아카데미에서는 2007년도 상반기 실무교육과

정을 아래와 같이 개설/교육실시 하고자 하오니 많은 참여와 주변에 널리 홍보하여 주시면

감사하겠습니다.

>> 중장기 교육일정 교육 (2007. 03.~08.)

>> 단기설계 교육일정 교육 (2007. 03.~06.)

번호 강의일정 강 좌 명 강사 소속

1 3.14~16(3일) Xilinx FPGA 구조 및 회로설계 김혁 부장 Xilinx

2 3.20~23(4일) Synplify Pro를 활용한 Wishbone Bus 설계 김정 부사장 에찌소프트

3 3.26~28(3일) Verilog HDL을 이용한 회로설계(초급) 조경순 교수 한국외국어

4 4.2~4(3일) Verilog HDL을 이용한 회로설계(초급) 조경순 교수 한국외국어

5 4.3~5(3일) Altera FPGA 구조 및 회로설계 이재철 차장 윈텍코리아

6 4.10~12(3일) VHDL을 이용한 회로설계 및 응용 박현철 교수 산업기술

7 4.10~12(3일) Design Compiler 사용법 및 활용예 김정 부사장 에찌소프트

8 4.18~19(2일) OLED 구동 기술 및 구동 회로설계 권오경 교수 한양 학교

9 4.18~20(3일) 아날로그 회로 설계실습 임신일 교수 서경 학교

10 4.18~20(3일) ARM 프로세서 기반의 카메라 모듈 Firmware 설계 송용호 교수 한양 학교

11 4.23.~25(3일) Verilog HDL을 이용한 회로설계(중급) 조경순 교수 한국외국어

12 4.24.~25(2일) 이동 멀티미디어 방송(DMB, 기타)기술 서종수 교수 연세 학교

13 5.3~4(2일) RFID Chip 구조 및 설계실습 엔지니어

14 5.7~9(3일) Verilog HDL을 이용한 회로설계(중급) 조경순 교수 한국외국어

15 5.9~11(3일) Embedded Linux 시스템 김웅식 교수 건양 학교

16 5.22~23(2일) 지상파 DMB용 RFIC 및 모듈 설계 김성도 책임 ETRI

17 5.21~23(3일) Xilinx FPGA 구조 및 회로설계 김희도 팀장 Xilinx

18 5.22~23(2일) Zigbee 시스템 이해 및 Application 개발방법 권 림 차장 레디오펄스

19 5.22~23(2일) 지능형 로봇 구조 및 설계기술 박상덕 책임 생기원

20 5.29~30(2일) 지상파 DMB용 H.264. 구조 및 설계 연구원 ETRI

21 5.29~31(3일) AXI(AMBA3.0) BUS 및 SoC 설계기술 김현규 책임 에이디칩스

22 5.29~31(2일) MPU 구조 및 임베디드 프로그래밍 권용세 이사 코어리버

23 6.11~13(3일) VHDL을 이용한 회로설계 및 응용 박현철 교수 산업기술

24 6.11~13(3일) TFT-LCD 구동 기술 및 구동 회로설계 최병덕 교수 한양 학교

25 6.11~13(3일) Design Compiler 사용법 및 활용예 김정 부사장 에찌소프트

26 6.14~15(2일) Prime Time 사용법 및 활용예 김정 부사장 에찌소프트

27 6.14~15(2일) Wibro/WiMAX를 위한 저전력 재구성 SoC 설계 조준동 교수 성균관 학교

번호 강의일정 강 좌 명 강사 소속

1 3.5~9 CDMA 이동통신 이해와 실습 배준현 교수 (주) 구모바일센터

2 4.16~27 Gbps Network Controller를 이용한 SoC설계 기초 박현철 교수 산업기술

3 5.7~18 상압축 Multimedia Processor SoC 설계 박현철 교수 산업기술

4 5.14~18 MPW 칩제작을 위한 Front-end/Back-end 설계1 노예철 선임 ETRI

5 5.14~18 Analog MPW 칩제작을 위한 Back-end 설계 김남걸 사장 (주)아나테크

6 5.28~6.1 MPW 칩제작을 위한 Front-end/Back-End 설계2 손병복 선임 ETRI

7 6.11~15 Embedded Linux를 활용한 USB Driver 설계 박채민 사장 (주)바른기술

2007년 상반기 IT-SoC 산업체 실무교육 일정안내

Page 53: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Announcement 55

IT-SoC아카데미약도

한국전자통신연구원 SoC산업진흥센터

※ 교육일정은 강사 및 교육장 사정에 의해 변경될 수 있습니다.

>> 설계 툴 교육일정 교육 (2007. 03.~06.)

번호 강의일정 강 좌 명 강사 소속

1 3.12~15(4일) Calibre DRC/LVS-Rule Writing 김봉준 리 Mentor

2 3.13~15(3일) PrimeTime 1 권 기 차장 Synopsys

3 3.13~15(3일) Synplify Pro(Beginer/Advanced) & Identify 김경모 차장 Synplicity

4 3.20~22(3일) Design for Test with DFTC 구교락 과장 Synopsys

5 3.20~22(3일) SPW for Algorithm Design 박순배 차장 CoWare

6 3.26~28(3일) Advenced Design System Fundamentals 김경원 차장 Agilent

7 3.27~28(2일) Power Compiler 이시원 과장 Synopsys

8 4.3~5(3일) ICStation-Accelerating Your Productivity 김봉준 리 Mentor

9 4.4~5(2일) Virtuoso Layout Editor 이 규 과장 Cadence

10 4.10~13(4일) Spectre Simulator & ADE 오정석 과장 Cadence

11 4.10~11(2일) Processor Designer for Specific Processor 이성길 차장 CoWare

12 4.17~18(2일) Star-RCXT 정재만 과장 Synopsys

13 4.18~20(3일) Calibre DRC/LVS-using 김봉준 리 Mentor

14 4.26~27(2일) First Encounter 서성하 차장 Cadence

15 5.2~4(3일) NC-Verilog Simulator 강웅구 차장 Cadence

16 5.2~3(2일) ATPG with TetraMAX 이시원 과장 Synopsys

17 5.3~4(2일) Calibre xRC Parasitic Extraction 김봉준 리 Mentor

18 5.8~10(3일) Design Compiler 이상길 부장 Synopsys

19 5.8~9(2일) Systemc Language Training for SoC Design 박순배 차장 CoWare

20 5.10~11(2일) Platform Architect for SoC Design 박순배 차장 CoWare

21 5.15~17(3일) Synplify Pro(Beginer/Advanced) & Identify 김경모 차장 Synplicity

22 5.22~23(2일) Novas Total Debugging Solution 이강범 KT Design

23 5.29~31(3일) HDS for Algorithm to Silicon 이성길 차장 CoWare

24 6.12~13(2일) Signal Intergrity 김경원 차장 Agilent

25 6.14~15(2일) Conformal LEC/CCD 서덕렬 차장 Cadence

>> 교육일정 확인 및 신청 : 한국전자통신연구원 IT융합∙부품연구소 SoC산업진흥센터

홈페이지(http://www.asic.net)의 IT-SoC아카데미 교육센터 참조

>> 교육신청 및 교육비 입금안내 :이경화 (☎ 02-401-7111, [email protected])

>> 교육문의 :손병복 (☎ 02-3433-6032, [email protected])

노예철 (☎ 02-3433-6062, [email protected])

Page 54: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

56 IT SoC Magazine

Aims of the Conference :

ASP-DAC 2008 is the thirteenth in a series of annual international conferences on

VLSI design automation. Asia and South Pacific region is one of the most active

regions of design and fabrication of silicon chips in the world. The conference aims

at providing the Asian and South Pacific CAD/DA and Design community with

opportunities of presenting recent advances in the technologies related to

Electronic Design Automation (EDA) and discussing the future directions. The

format of the meeting intends to cultivate and promote an instructive and

productive interchange of ideas among EDA researchers/developers and

system/circuit/device designers. A wide variety of those scientists, engineers, and

students who are interested in theoretical issues in EDA are also welcome.

Areas of Interest :

Original papers on, but not limited to, the following areas are invited.

[1] System Level Design :

System VLSI and SOC design methods, System specification, Specification

languages, Design languages, Hardware-software co-design, Co-simulation,

Co-verification, Platform-based design, Design reuse and IP's

[2] Embedded and Real-Time Systems :

Low power system design, Network on chip, Communication architecture,

Memory architecture, Real-time OS and middleware, Compilation techniques,

ASIP synthesis

[3] Behavioral/Logic Synthesis and Optimization :

Behavioral/RTL synthesis, Technology-independent optimization,

Technology mapping, Interaction between logic design and layout,

Sequential and asynchronous logic synthesis

[4] Validation and Verification for Behavioral/Logic Design :

Logic simulation, Symbolic simulation, Formal verification, Equivalence

checking, Transaction-level/RTL and gate-level modeling and validation

[5] Physical Design (Routing) :

Routing, Repeater issues, Interconnect optimization, Interconnect planning,

Module generation, Layout verification

[6] Physical Design (Placement) :

Placement, Floorplanning, Partitioning, Hierarchical design

[7] Timing, Power, Signal/Power Integrity Analysis and Optimization :

Timing analysis, Power analysis, Signal/power integrity, Clock and global

signal design

[8] Interconnect, Device and Circuit Modeling and Simulation :

Interconnect modeling, Interconnect extraction, Package modeling, Circuit

simulation, Device modeling/simulation, Library design, Design fabrics,

Design for manufacturability, Yield optimization, Reliability analysis,

Emerging technologies

[9] Test and Design for Testability :

Test design, Fault modeling, ATPG, BIST and DFT, Memory, core and system

test

[10] Analog, RF and Mixed Signal Design and CAD :

Analog/RF synthesis, Analog layout, Verification, Simulation techniques,

Noise analysis, Analog circuit testing, Mixed-signal design considerations

[11] Leading Edge Design Methodologies :

Novel design methodologies for SOCs, SIPs, IP-cores, processors, memories,

A/D mixed circuits, Sensors, MEMS chips, FPGAs, reconfigurable systems,

etc. and design examples based on the aforementioned methodologies

ASP-DAC 2008 University LSI Design Contest encourages submitting original

papers on LSI design and implementation at universities and other educational

organizations.

Submission of Papers :

Deadline for submission : 5 pm KST, July 10 (Tue), 2007

Notification of acceptance : , September 28 (Fri), 2007

Deadline for final version : 5 pm KST, November 16 (Fri), 2007

Specifiacation of the paper submission format will be available at the

WEB site : http://www.aspdac.com

Panels, Special Sessions and Tutorials :

Suggestions and proposals are welcome and have to be addressed to the

Conference Secretariat (e-mail:[email protected]) no later than 5 pm KST,

June 8 (Fri.), 2007.

Prospective Sponsors :

ACM SIGDA, IEEE Circuits and Systems Society, IEEK (The Institute of Electronics

Engineers of Korea)

ASP-DAC2008 Chairs :

General Chair: Chong-Min Kyung (KAIST)

Technical Program Co-Chairs: Kiyoung Choi (Seoul National Univ.), Soonhoi Ha

(Seoul National Univ.)

Technical Program Vice Chair: Ren-Song Tsay (National Tsing Hua Univ.)

Conference Secretariat :

Please contact Conference Secretariat (e-mail:[email protected]), if you

have questions or comments.

Events / Call For Paper Ⅰ

Call for Papers ASP-DAC 2008

Asia and South Pacific Design Automation Conference 2008

http://www.aspdac.com

January 21-24, 2008

COEX, Seoul, Korea

Page 55: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Event/ Call for paper 57

The IEEE A-SSCC 2007 (Asian Solid-State Circuits Conference) is an internationalforum for presenting the most updated and advanced chips and circuit designs insolid-state and semiconductor fields. The conference is supported by the IEEESolid-State Circuits Society and will be held in Asia. Further details on theconference and paper submission guidelines and templates are available at theA-SSCC official website http://a-sscc.org

Paper SubmissionProspective authors are invited to submit full-length, four-page manuscripts,including figures, tables and references, to the official A-SSCC 2007 website. Allpapers will be handled and reviewed electronically. Papers are solicited in thefollowing categories:

1. Industry Program : This special category accepts only papers based on state-of-the-art products. Thepaper may cover specifications, applications, state-of-the-art points, chip photos,chip architecture/software, circuits (not necessarily very original, significantimprovement is fine), live demo if any, characterization results, andpackaging/testing results.

2. Analog and Data Conversion : Analog circuits and subsystems, including baseband amplifiers, DC-DCconverters, continuous-time & discrete-time filters, comparators, multipliers,voltage references, power-control circuits, non-linear analog circuits, op-amps,switched-capacitor circuits, Nyquist-rate and oversampling A/D and D/Aconverters, and sample-and-hold circuits.

3. Digital Circuits and Systems : Design, fabrication, and test of digital VLSI systems; microprocessors, networkprocessors and chipsets; I/O and inter-chip communication; intra-chipcommunication; reconfigurable logic-array circuits; digital clock-synthesis circuitsand architectures; high-performance and lowpower logic-micro-architectures andcircuit techniques; high-speed digital circuits; power-reduction and managementmethods for digital VLSI, and implementation methodologies for digital VLSI.

4. Emerging Technologies and Applications : Advanced circuit technologies and techniques; ultra-low-voltage and sub-threshold logic design; molecular-, organic-, and nano-electronics; flexiblesubstrates and printable electronics; 3D-integration and novel packagingtechnologies; compound-semiconductor, superconductive, and micro-photonictechnologies and circuits; energy sources and energy harvesting; emergingapplications such as biomedical and ambient-intelligence; emerging wirelessapplications and circuits; 3D RF and mixed-signal circuits; RFID; advanced signal-processing and microprocessor architectures; design for manufacturability; analogand optical processors, non-transistor-based analog and digital circuits and theirsystem architectures; advanced memory technologies; spintronics; and quantumstorage.

5. Memory : Static, dynamic, non-volatile, and read-only memory; circuit-design techniques,system architectures, I/O interfaces, and array organizations; magnetic and ferro-electric memory designs and architectures; data storage and multi-bit-cell-basedmemory designs; embedded memory architectures, cache-memory systems,multi-port memory, and CAM designs; emerging memory technologies; nano-crystal, phase-change, and 3D memories; high-speed low-power and low-voltagememory designs; yield-enhancement redundancy and ECC techniques; andmemory testing and built-in self-test.

6. Mixed Signal Processing : Mixed signal-processing circuits and systems, digital signal processors andsystems, reconfigurable signal-processing circuits and systems; low-power signal-processing circuits and systems; baseband-communication-processingarchitectures; cryptographic- and security-processing circuits and systems;magnetic and optical storage circuits and systems; multimedia processors andsystems, image-processing/compression architectures, audio-and-voice-processing/compression architectures, bio-medical/neural signal processors.

7. RF :Circuits and sub-circuits for RF/IF/baseband, including receiver and transmitterfront-end circuits; narrowband RF; ultra-wideband and millimeter-wave circuits(MMDS, 60GHz); IF amplifiers; power amplifiers; RF switches; power detectors;active antennas - including MIMO, modulators, and demodulators, synthesizers,and PLLs.

8. Student Design Contest : A student design contest is held among the accepted papers with systemprototypes or measurement results of which operations can be demonstrated on-site. Refer to the web for further information.

9. Wireless and Wireline : Receivers/transmitters/transceivers for wireless systems including (but not limitedto)WLAN,WPAN, WMAN, GPS, DVB/DMB, Bluetooth UWB, GSM/EDGE/UMTS/3G/4G base stations and handsets, TV/radio/satellite; receivers/transmitters/transceivers for wireline systems including (but not limited to) LAN, WAN,FDDI, Ethernet, token-ring, fiber channel, SONET, SDH, PON, ATM, ISDN, xDSL,cable-modem; optical/electrical data links and backplane transceivers,power-line/phone-line home networks, subscriber-line circuits and modems.Wireline transceiver building blocks like AGC, oscillators, line-drivers andhybrids.

Important dates

June 11, 2007, 20:00 (GMT) Paper submission deadline July 31, 2007 Acceptance notificationSeptember 1, 2007 Deadline for final papers submission

Events / Call For Paper Ⅱ

First Call for Papers

IEEE Asian Solid-State Circuits Conference (A-SSCC) 2007

Location : Ramada Plaza Hotel, Jeju Island, Korea

Date : November 12, 2007 (Monday) - November 14, 2007 (Wednesday)

Sponsored by IEEE SSCS, IEEE Region-10 SSCS Chapters

Steering Committee Chair Chorng-Kuang Wang, National Taiwan University, Taiwan ([email protected])Conference Chair Chong-Min Kyung, KAIST, Korea ([email protected])

Co-Chair Katsuhiro Shimohigashi, Hitachi Ltd., Japan ([email protected])Technical Program Committee Chair Nicky C. C. Lu, Etron Technology, Inc., Taiwan ([email protected])

Co-Chair Hoi-Jun Yoo, KAIST, Korea ([email protected])Vice-Chair Shyh-Jye Jou, National Chiao Tung University, Taiwan ([email protected])

Page 56: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

58 IT SoC Magazine

Event calendar 2007IT관련 국내외 행사 일정 (5월~7월)

01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31

E1E2

05May

SEMICON Singapore 2007

구국제모바일산업전시회(MOBEX 2007)

E3 Hometech Middle East

E4 SVIZ/Expo Comm Moscow 2007

E6 2007 International Mobile Phone Industry Expo

E5 EDS 2007 (라스베가스 전자부품 전시회)

01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31

E7E8

06June

DAC 2007(44th Design Automation Conference)

InfoComm 2007

E9 SCAN&RFID China 2007

E10 Communic Asia 2007

E11 SEK 2007

SEMICON Singapore 2007

http://wpsza.semi.org/wps/portal�기간:2007.5.8~10

�장소:싱가포르, Suntec Singapore International Convention

& Exhibition Center

�주최:SEMI

�전시품목:반도체 재료장비 및 관련 부품

구국제모바일산업전시회(MOBEX 2007)

http://www.mobex.co.kr�기간:2007.5.10~12

�장소: 구 EXCO

�주관: 구시, 경상북도 모바일단말상용화센터,

구전시컨벤션센터

�전시품목:모바일 단말기 및 관련 부품/소재/솔루션/컨텐츠

Hometech Middle East

http://www.hometechexpo.com�기간:2007.5.13~15

�장소:Dubai International Convention and Exhibition Center

�주최:CEA(Consumer Dlectrinics Association)

�특징:중동지역 최 홈테크 전시회

SVIZ/Expo Comm Moscow 2007

http://www.expocomm.com/moscow�기간:2007.5.14~18

�장소:러시아 모스크바

�주관:E.J.Krause & Associate Inc.

�전시품목:무선/이동통신 전분야

EDS 2007 (라스베가스 전자부품 전시회)

http://www.edsc.org�기간:2007.5.15~17

�장소:미국 라스베가스 Paris & Bally's Hotel

�주최:Electronic Distribution Show Corp.

�전시품목:전자부품

2007 International Mobile Phone Industry Expo

http://www.sglobalpurchase.com�기간:2007.5.18~20

�장소:중국 천진

�주최:천진 경제과학 기술산업개발 무역진흥센터

�전시품목:휴 폰 관련 부품/SW

E1

E2

E3

E4

E5

E6

Page 57: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

Event Calendar 59

DAC 2007(44th Design Automation Conference)

http://www.dac.com�기간:2007.6.4~8

�장소:미국 캘리포니아 샌디에고

�주최:ACM, IEEE 등

�특징:전자 기기와 LSI의 설계 자동화 기술과 관련한 전시회 겸

국제 학회

InfoComm 2007

http://infocomm.org�기간:2007.6.15~21

�장소:미국 캘리포니아 애너하임

�주최:Internatinal Communication Industries Association

�특징:AV/IT 관련 제품을 선보이는 세계 최 디스플레이

전문 전시회

SCAN&RFID China 2007

http://www.scan-china.com

�기간:2007.6.19~21

�장소:중국 광저우

�주최:Guangdong Science & Technology

�전시품목:Auto ID Technology, RFID

Communic Asia 2007

http://communicasia.com�기간:2007.6.19~22

�장소:싱가폴 싱가폴엑스포

�주최:Singapore Exhibition Services

�전시품목:유무선 통신 단말기/부품/서비스

SEK 2007

http://www.sek.co.kr�기간:2007.6.20~23

�장소:삼성동 COEX 태평양홀/인도양홀

�주최:정보통신부, 과학기술부, 산업자원부

�전시품목:디지털 가전, 통신/네트워크, 차세 컴퓨터 및

소프트웨어

Event calendar 2007.5~7

E12

07July

FPD Expo Taiwan 2007

FPD Expo Taiwan 2007

http://www.semi.org�기간:2007.7.4~6

�장소: 만 타이페이

�주최:SEMI

�전시품목:FPD 제품 및 제조장비

ASAP 2007 (Application-specific Systems,

Architectures and Processors)

http://www.asap-conference.org�기간:2007.7.9~11

�장소:캐나다 몬트리올

�주최:IEEE

�분야:Application-specific Systems/Architectures/

Processors

CardTech Korea 2007

http://www.cardtechkorea.com

�기간:2007.7.11~13

�장소:서울 COEX

�주최:행정자치부, 정보통신부, 산업자원부

�전시품목:카드 시스템/단말기 및 주변기기 및 정보보안시스템 등

Expo Comm Wireless Japan 2007

http://www.wjexpo.com�기간:2007.7.11~13

�장소:서울 COEX

�주최:RIC/EJ. Krause & Associate

�전시품목:무선 정보통신 관련 부품/솔루션 및 장비

Semicon West 2007

http://wps2a.semi.org/wps�기간:2007.7.16~20

�장소:미국 산호세

�주최:SEMI

�전시품목:반도체 관련 부품/솔루션 및 장비

E10

E11

E7

E8

E9

E15

E16

E12

E13

E14

E14 CardTech Korea 2007

E15 Expo Comm Wireless Japan 2007

E13 ASAP 2007 (Application-specific Systems, Architectures and Processors)

01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31

E16 Semicon West 2007

Page 58: Contents - ssforum.orgssforum.org/business/file/2007-05-00.pdf · 16.07.2007 · 45 기업소개 팅크웨어, 시터스, 매직아이 48 Guide to Navigation 내비게이션시스템구성요소및기능

60 IT SoC Magazine

가로열쇠 1 이동하면서도 초고속인터넷을 이용할 수 있는 무선 휴 인터넷. 우리말로는 휴 인터넷, 무선

초고속 인터넷 등으로 풀이되며 이동전화와 무선 LAN의 중간 역에 위치한다. 한국이 국제 표준화를 주도

하고 있는 3.5세 이동통신 서비스이자 국책사업 3 yellow sand. The floating yellow dusts stemmed

from a spring air stream ascending with fine dirt of Gobi Desert in northern China 5야드파운드법에의

한무게의단위. 보통 1온스의 16분의 1로약 1.772그램에해당하고, 약제의무게를잴때는1온스의8분의 1로

약3.8879그램에해당한다. 6애매하고불분명한상황에서여러문제들을두뇌가판단하고결정하는과정에

하여수학적으로접근하려는이론 8중국고 의철학자, 도가( 家)의창시자. OO의사상『도교』, Taoism

9제삼자로서두당사자쌍방사이에서서일을주선함 10‘용( )’의옛말 11고백성사 13가득이라는의

미의 < >과 <タンク(tank)>의 줄임말인 <タン>이 합쳐진 일본식 외래어로, 연료 등을 가득히 넣는 것을 뜻함

15 고려시 의 승려이자 학자로 운문사( ) 주지로 있으며 왕에게 법을 강론하 다고 전해진다. 한국 고

신화와 설화 및 향가를 집 성한 책《삼국유사( 國 )》의 저자 16 국가나 단체가 어떤 개인에게 햇수

단위로정한금액을정기적으로급여하는금액 17산과바다에서나오는온갖재료로만든진기한음식

세로열쇠 1 자동차의앞유리에들이친빗방울따위를자동적으로좌우로움직여서닦아내는장치 2통상

어떤일의기준과목표를만들어놓은것을표현하는용어. 도로지도 4동양화에서, 그고결함이군자와같다

는뜻으로, 매화∙국화∙난초∙ 나무를일컫는말. OOO는문인화

의 표적소재 7유럽, 아시아, 아프리카세 륙에둘러싸인바다. 동쪽으로홍해와인도양, 서쪽으로 서양과통하며, 북쪽에

흑해가있다. 8북방인( )의뜻으로, 바이킹, 테인인( ), 바랴그인등의별칭이있다. 인종적으로유럽의카프카스인종중의

북방계에 속하며, 스칸디나비아반도 및 덴마크 지방에서 프랑스, 국 및 남부 이탈리아로 이주한 민족 11 일흔 살이 되는 해에

베푸는 생일잔치 12 레몬이나 감귤 같은 데 들어 있는 염기성의 산. 무색무취의 결정체로 알코올과 물에 녹으며, 청량음료나 의

약품등에쓰임. 레몬산. 시트르산 14해가진뒤컴컴하기까지의어스레한동안. 박야( )∙석음( )∙훈일( )

>>퍼즐 정답은 애독자 엽서란에 게시물을 작성해 주십시오. 추첨을 통해 문화상품권 2만원권을 보내드립니다.

상품 전달과 관련하여 전화를 드리는 경우가 있으니 연락처를 함께 적어 주세요.

퍼즐

카툰c a r t o o n

p u z z l ep u z z l e1 2 3 4

5

6 7 8

9 10

11 12 13 14

15

16 17

일러스트

허한우

지난호 퍼즐 정답

주1

도 면2

발3

아4

문 입5

춘 이

형6

사7

국 팝8

서9

리 카10

고11

함 내12

라13

마14

주 주15

몽 우

파16

스 고17

속 버 스