12
DECODER JURNAL PRAKTIKUM PERCOBAAN 2 RAIHAN JANNAH 112411026 LABORATORIUM INSTRUMENTASI DIGITAL DEPARTEMEN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS SUMATERA UTARA MEDAN 2012

Decoder Rehan

Embed Size (px)

Citation preview

DECODER

JURNAL PRAKTIKUM PERCOBAAN 2

RAIHAN JANNAH 112411026

LABORATORIUM INSTRUMENTASI DIGITAL DEPARTEMEN FISIKA FAKULTAS MATEMATIKA DAN ILMU PENGETAHUAN ALAM UNIVERSITAS SUMATERA UTARA MEDAN 2012

BAB I TUJUAN

1. Memahami prinsip kerja dari rangkaian Decoder 2. Untuk mengetahui aplikasi dari Decoder 3. Mendemontrasikan cara kerja sebuah IC 74138

BAB II LANDASAN TEORI Decoder adalah untai yang mengkonversi kode masukan biner n bit menjadi 2n jalur keluaran yang berbeda. Dekoder dirancang menggunakan masukan enable (bolehan) yang memungkinkan beberapa decoder dapat dihubungkan secara kaskade (beruntun) dan juga sebagai demultiplekser. Sebagai contoh decoder 3-ke-8 jalur yang dilengkapi dengan masukan enable mempunyai tabel kebenaran sebagai berikut. Tabel 2.1 : Tabel kebenaran decoder 3-ke-8 jalur.EN 1 0 0 0 0 0 0 0 0 A X 0 0 0 0 1 1 1 1 B X 0 0 1 1 0 0 1 1 C X 0 1 0 1 0 1 0 1 D1 1 0 1 1 1 1 1 1 1 D2 1 1 1 0 1 1 1 1 1 D3 1 1 1 1 0 1 1 1 1 D4 1 1 1 1 1 0 1 1 1 D5 1 1 1 1 1 1 0 1 1 D6 1 1 1 1 1 1 1 0 1 D7 1 1 1 1 1 1 1 1 0

Dekoder ini akan mendekode (mengawasandi) kode masukan bila masukan enable EN adalah 0 dan tidak mendekode kode masukan bila masukan EN adalah 1. Bila EN = 0 dan kode masuakn biner 101 diberiakn ke ABC, maka keluaran D5 adalah 0 dan keluaran yang lain adalah 1. Jalur keluaran yang lain bekerja dengan cara yang sama bila diamati dari table kebenaran. Karena masukan enable harus 0 agar decoder mendekode masuakn kode, maka jalur masukan bolehan setiap gerbang terdapat symbol negasi. Enkoder (penyandi) adalah untai kombinasional yang digunakan untuk membangkitkan kode biner keluaran untuk n masukan berbeda. Cacah bit m yang diperlukan untuk membangkitkan kode keluaran harus memenuhi relasi: 2mn.Multiplekser adalah untai dengan n jalur seleksi yang digunakan untuk mengarahkan salah satu dari 2n masukan ke satu keluaran. Fungsi boole untuk multiplekser ini dapat diperoleh dengan menggunakan peta Karnough. Tetapi karena cacah masukan cukup banyak, maka hanya ditinjau masukan seleksi yang memilih masukan seleksi yang memilih masukan data yang diarahkan ke keluaran.Demultiplekser adalah untai denagn jalut n pemilih

dan satu jalur masuakn yang mengarahkan sinyal masukan ke salah satu dari 2n jalur keluaran yang mungkin. ( Thomas Sri Widodo.2007:Teknik Digital) Decoder adalah suatu rangkaian logika kombinasional yang mampu mengubah Bilangan Biner (BCD) ke dalam bentuk Desimal. Bentuk decimal ini dapat dinyatakan dengan 7 (seven segment led, nicie, tube, dan lain-lain). LED ( Light Emitting Diode) adalah suatu komponen semikonduktor yang sifatnya sama dengan dioda, tetapi bisa menyala kalau mendapat tegangan Forward (anoda mendapatkan tegangan positif dan katoda mendapat muatan negatif). 7 (Seven Segment LED) adalah Display yang bebrbentuk dari 7 kelompok LED yang diatur sedemikian rupa sehingga bisa membentukm angka-angka dari 0 sampai angka 9. Jika gabungan anoda dihubungkan denagn tegangan positif dan kaki ABC

dihubungkan dengan negative maka akan terbentuk angka 7. Untuk mendapatkan bentuk angka-angka yang lain dapat menghubungkan katoda LED yang sesuai.

Gambar 2.1: LED berbentuk 7 BCD to Seven Segment decoder adalah gunanya untuk mengubah bilangan biner ( kode 8421) ke dalam decimal yang dibentuk oleh 7 segment LED. Inputnya ada empat yang masingmasing adalah A, B, C, D sedangkan outputnya ada tujuh yaitu : a, b, c, d, e, f, g. Jika A = 1, B= 0, C=0, D=1 maka outputnya ke ground (0 = nol) adalah a, b, c, d, e, f, dan g. Gambar dibawah ini menunjukkan cara pemakaian sebuah IC type 7447 yang dihubungkan ke 7 segment LED masing-masing melalui R=180 ohm. Logic IC berfungsi sebagai BCD to 7 segment decoder diantaranya type 7447 dan 7446. (F.Suryatmo.1990: Teknik Digital) Dekoder juga merupakan peralatan yang sangat umum pemakaiannya. Ditinjau dari keadaan aktif keluarnya, decoder dapat dibedakan atas non interted-outputs dan interted-outputs. Rangkaian decoder interted-outputs menghasilkan satu, dan hanya satu , dari sejumlah keluarannya yang berlogika 1. Sebaliknya, dalam decoder non interted outputs hanya satu keluaran yang berlogika 1 sedangkan keluaran lain berlogika 0. Pemilihan keluaran ditentukan oleh sekumpulan masukan kendali. Untuk n sinyal kendali dapat dipilih satu dari 2n keluarannya yang berlogika 1 tergantung atas jenis keluaran dibalik atau tidak (interted atau non-interted outputs). Fungsi keluaran decoder dapat dituliskan sebagai berikut: non- interted = zi = mi, i= 0,1,..(2n 1) ................................................................................(2.1) interted = zi = mi, Mi = 0,1,..(2n 1) ..............................................................................(2.2)

dengan mi dan Mi sukumin dan sukumax dari perubah masukan atau kendali. Untuk decoder 2x4 yang keluarannya dibalik, maka table kebenarannya dapat dibuat sebagai berikut: Tabel 2.2 : Decoder 2x4 a 0 0 1 1 b Z0 0 1 0 1 1 0 0 0 Z1 0 1 0 0 Z2 0 0 1 0 Z3 0 0 0 1

Bahwa hanya 1 dari ke 4 keluarannya yang berkeadaan 1 sedangkan selebihnya berkeadaan 0. Dalam bentuk gerbang-gerbang diskrit skala kecil, rangkaian logika decoder ini dapat disusun seperti gambar 3 . Dekoder seperti yang ditunjukkan pada gambar ini jarang digunakan karena ketersediaan serpih decoder khusus dalam skala menengah (MSI) yang lebih murah dan lebih rapi.

Gambar 2.2: Seven Segment Decoder Salah satu serpih decider yang sangat banyak digunakan dalam sistem digital adalah decoder 3-ke-8, yang tersedia dengan nomor tipe, antara lain 74LSI38 (buatan TI, Texas, insrtumentasi) dan 8205 (buatan Intel) dari jenis keluaran dibalik yang simbol logika . Dengan kendali tambahan ini dimungkinkan pengoperasikan yang tidak satu pun keluaran berkeadaan aktif dan dapat menyederhanakan realisasi. Sebagaiman multiplexer, decoder juga dapat digunakan untuk merealisasikan fungsi-fungsi logika kombinasi. ROM merupakan rangkaian pengingat (memori) yang hanya dapat dibaca tanpa ditulis. Pada dasarnya ROM hanyalah rangkaian kombinasi dengan keluaran ganda ( multiple output). Rangkaian ini didasarkan atas kenyataan bahwa setiap kombinasi masukan tertentu akan memberikan kombinasi keluaran sesuai dengan rangkaian yang sudah disusun sebelumnya. ROM terdiri atas 2 bagian yaitu decoder dibagian masukan dan matriks OR di bagian keluaran. Bagian masukan menyediakan sukumin dan bagian keluaran menjumlahkan (mengOR-kan) sukumin penyusun fungsi keluaran. Matrik OR pada keluaran direalisasikan dengan diode yang berfungsi sebagai saklar (switch). Diode saklar bagi sukumin penyusun dihubungkan, diode saklar bagi sukumin yang bukan penyusun dilepas. Kombinasi yang timbul pada keluaran dapat dipilih deengan memberikan kombinasi tertentu pada masukan pemilih pada decoder. Jadi, pemilihan ko0mbinasi masukan sama dengan pemilihan alamat

dalam pengertian memori secara umum. Dalam realisasi dengan ROM ini, walaupun ada sukumin keluaran decoder yang tak digunakan, yang tidak tersambung ke salah satu saluran keluaran, kombinasi alamat bersangkutan tetap didekodekan. Jadi, ditinjau dari segi memori, ada sel yang tak pernah diakses. Decoder yang menentukan alamat kata yang akan dibaca pada ROM dalam PLA digantiakn dengan matriks AND untuk menghasilkan sukumin dari perubahan masukan. Dalam hal ini, hanya sukumin yang dibutuhkan saja yang direalisasikan sehingga fabrikasinya lebih hemat dibanding ROM. (Pernantin Tarigan.2006:Rangkaian Logika Digital) Tahapan umum decode dari bahasa mesin ke bilangan desimal yang peralatan keluaran yang sangat umum diguankan untuk menayangkan bilangan desimal adalah tujuh segment. Tujuh segment dapat disusun dengan masing-masing segmen berupa suatu bilanga tipis yang menyala. Jenis peraga Kristal cairan yang lebih baru dapat menghasilkan angkaq dengan warna hitam atau warna perak. Peraga LED yang biasa mengeluarkan karakteristik sianr yang berwarna merah bila dalam keadaan menyala. Bila saklar b ditutup, arus mengalir dari GND melalui hambatan pembatasan LED segmen b dan keluar vmelalui hubungan anoda biasa ke catu daya. (Roger L.Tokheim.1995: Elektronika Digital) Aplikasi decoder digunakan kapanpun sebuah keluaran atau grup dari keluaran diaktifkan hanya selama kejadian dari kombinasi tertentu dari tingkat masukan . Tingkat masukan ini seringkali dilengkapi oleh keluaran dari sebuah counter atau register, apabila masukan decoder datang dari sebuah counter akan diaktifkan secara terus-menerus dan dapat digunakan sebagai pewaktu atau sinyal berbaris. Decoder mempunyai satu atau lebih masukan enable yang diguakan untuk mengatur operasi dari decoder itu sendiri. TTL 7445 adalah sebuah decoder/ penggerak BCD kedesimal. Kata pergerak ditambahkan karena IC ini mempunyai keluaran kolektor terbuka yang dapat dioperasikan pada arus listrik dan batas tegangan yang lebih tinggi daripada sebuah keluaran TTL biasa. Keluaraan-keluaran dari 7445 dapat men-sink sampai 80 ma dalam keadaan High.Hal ini menyebabkan IC 7445 cocok untuk LED indicator atau lampu, relay atau motor DC. Pada bagian masukan Decoder terdapat lebih dari satu jalur (tunggal) yang aktif. Sedangkan pada bagian keluarannya yang aktif hanya satu satu saja. Tetapi bagian masukan ini harus berupa sistem bilangan biner. Sehingga pada bagian masukan dari Decoder adalah sistem bilangan biner yang hanya dimengerti oleh mesin digital atau komputer, sedangkan pada bagian keluaran dari Decoder biasanya menggunakan kode dengan sistim bilangan yang biasa digunakan oleh manusia sehari-hari, seperti bilangan decimal. (Ir.Samuel H.1996: Elektronika Digital)

BAB III METODOLOGI PERCOBAAN

3.1 Peralatan dan Komponen

3.1.1 Peralatan 1. Peralatan Supply 5 V DC Berfungsi sebagai sumber tegangan listrik. 2. Jumper Berfungsi sebagai alat untuk menghubungkan komponen yang satu dengan yang lainnya. 3. Penjepit Buaya Befungsi sebagai menghubungkan rangkaian dengan sumber tegangan. 4. Saklar Berfungsi sebagai masukan kode high dan low. 5. Protoboard Berfungsi sebagai tempat merangkai komponen sementara.

3.1.2 Komponen 1. IC 74138 Berfungsi sebagai decoder decoder mengubah bilangan biner ke desimal dengan 3 masukan 8 keluaran. . 2. Resistor 330 Berfungsi sebagai menghambat tahanan 3. LED ( 8 buah ) Berfungsi sebagai indikator dari masukan high dan low.

3.2 Prosedur Percobaan 1. Rangkailah rangkaian sesuai dengan gambar berikut ini :DATA OUTPUTS Vcc 16 Y0 15 Y1 14 Y2 13 Y3 12 Y4 11 Y5 10 Y6 9

1 A SELECT

2 B

3 C

4 G2A

5 G2B

6 G1

ENABLE

7 Y7 OUTPUT

8 GND

2. Rangkaian yang telah di rangkaikan seperti point. 1 dihubungkan ke PSA yang memiliki besar tegangan 5 V. 3. PSA 5 V dihidupkan. 4. Divariasikan Kode G1(X), G2(H),C(X),B(X),A(X). 5. Kemudian hasilnya di catatkan pada kertas data. 6. Dan di lanjutkan dengan kode yang berbeda.

4.1 Analisa Data

1. Jelaskan cara kerja rangkaian decoder dan bandingkan dengan data percobaan yang diperoleh! Decoder akan bersifat active low dan dilengkapi dengan saluran masukan enable low. Keluaran bersifat active low maksudnya saluran keluaran dikatakan aktif jika kondisi keluaran tersebut adalah low atau memiliki tegangan rendah. Enable berfungsi untuk mengaktifkan atau me-nonaktif-kan rangkaian. Enable lowlow atau tegangan rendah. Decoder berfungsi untuk mengaktifkan salah satu dari saluran keluarannya untuk setiap pola masukan yang berbeda-beda.

2. Rancanglah rangkaian decoder untuk masukkan 4 bit dan keluaran 16 dengan menggunakan computer! ( TERLAMPIR )

A

B

C

D

0000

0001

0010

0011

0100

0101

0110

0111

1000

1001

1010

1011

1100

1101

1110

1111

BAB V KESIMPULAN DAN SARAN

5.1 Kesimpulan 1. Dapat disimpulkan ,bahwa prinsip kerja decoder adalah decoder akan bersifat active low dan dilengkapi dengan saluran masukan enable low. Keluaran bersifat active low maksudnya saluran keluaran dikatakan aktif jika kondisi keluaran tersebut adalah low atau memiliki tegangan rendah. Dekoder dirancang menggunakan masukan enable (bolehan) yang memungkinkan beberapa decoder dapat dihubungkan secara kaskade (beruntun) dan juga sebagai demultiplekser. 2. Aplikasi dari decoder adalah penggunaan Decocer Biner yang biasanya banyak sekali digunakan dalam kehidupan sehari-hari. mengubah Bilangan Biner (BCD) ke dalam bentuk Desimal. Bentuk decimal ini dapat dinyatakan dengan 7 (seven segment led, nicie, tube, dan lain-lain). Sebagai contoh adalah keyboard pada kalkulator, seven segment,dan running text. 3. Untuk menguji Decoder, digunakan IC 74138 yang merupakan rangkaian yang akan aktif jika enable diberi masukan. IC 74138 dengan menggunakan sebuah 3 bit binary decoder. IC ini mempunyai 3 input biner dan 8 output, dimana nilai ouput adalah 1 untuk salah satu dari ke 8 jenis kombinasi inputnya.

5.2 Saran 1. Pratikkan harus memperhatikan jalannya percobaan mulai dari merangkai komponen sampai selesai agar dalam pembuatan jurnal sesuai dengan aturan yang ada. 2. Sebaiknya praktikan diberi merangkai rangkaian praktikum sendiri agar praktikan lebih mengerti tentang praktikum yang dilakukan. 3. Sebaiknya praktikan lebih teliti dalam merangkaikan komponen pada percobaan ini sehingga kesalahan dalam menghubungkan komponen dapat diminimalisi.

DAFTAR PUSTAKA Suryatmo, F . 1990. Teknik Digital . Jakarta: Bumi Aksara. Tarigan , Pernantin . 2006. Rangkaian Logika Digital. Medan: USU Press. Tirtamihardja, H, Samuel Ir. 1996. Elektronika Digital. Yogyakarta : Andi. Tokheim, L , Roger .1995. Elektronika Digital. Jakarat : PT. Gelora Aksara Pratama. Widodo, Sri, Thomas. 2007. Teknik Digital. Yogyakarta : Graha Ilmu.

Gambar Percobaan

PLN

PSA AdjustON Off

R 330

R 330

R 330

R 330

R 330

R 330

R 330

R 330

16Vcc

LED

15

14

13

12

11

10

9

IC 741381 2 3 4 5 6 7 8Gnd

I 0 G1

I 0 G2B

I 0 G2A

I 0 C

I 0 B

I 0 A

INPUT

ENABLE