16
T To o h h o o k k u u U U n n i i v v e e r r s s i i t t y y M M i i c c r r o o / / N N a a n n o o M M a a c c h h i i n n i i n n g g R R e e s s e e a a r r c c h h a a n n d d E E d d u u c c a a t t i i o o n n C C e e n n t t e e r r 1 1 1 0 0 0 0 0 0 是非お問い合わせください TEL: 022-795-625662576258 FAX: 022-795-6259 E-mail: [email protected] 2014 年度版

装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

東東東北北北大大大学学学

マママイイイクククロロロ・・・ナナナノノノマママシシシニニニンンングググ

研研研究究究教教教育育育セセセンンンタタターーーTTTooohhhoookkkuuu UUUnnniiivvveeerrrsssiiitttyyy MMMiiicccrrrooo///NNNaaannnooo MMMaaaccchhhiiinnniiinnnggg RRReeessseeeaaarrrccchhh aaannnddd EEEddduuucccaaatttiiiooonnn CCCeeennnttteeerrr

装装装置置置カカカタタタロロログググ

111000000台台台以以以上上上ののの共共共用用用装装装置置置、、、ああありりりままますすす。。。

是是非非おお問問いい合合わわせせくくだだささいい

TTEELL:: 002222--779955--66225566・・66225577・・66225588 FFAAXX:: 002222--779955--66225599

EE--mmaaiill:: iinnqquuiirree@@mmnncc..mmeecchh..ttoohhookkuu..aacc..jjpp

2014年度版

Page 2: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

照会

登録手続き ・利用登録申請手続き

-研究室利用責任者の登録

-利用者の登録

・MNC 入退出指紋登録

学外利用者

マイクロ・ナノマシニング研究教育センター

事務室へ問い合わせ

MNC3 階共同研究室内

TEL 022-795-6256・6257・6258 E-mail : [email protected]

東北大学の担当研究室

等へコンタクト

(随時受け付け)

登録

学内利用者

MNC 安全講習を受講(録画 DVD の視聴でも可)

MNC 利用資格検定を受験し合格する(随時受験可)

利用

装置の使い方を習う

装置の予約(WEB 予約システム)

装置の利用

利用料金の請求

(研究室単位)

新しい装置を使いたい 充分習熟

利用頻度大

装置担当者となる

利用までの流れ

Page 3: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

半導体デバイスの評価に必要な計測機器、観察装置が多数ある。材料の評価、デバイスの電磁

気・機械・光学特性や振動・周波数応答の計測まで対応できる。また、光学顕微鏡から電子顕微

鏡まで様々な倍率の観察が可能。

JEOL-SEM

接触式段差計

ネットワークアナライザ

FE-SEM

日本電子製 FE-SEM

JSM-7400F

SEM-EDX 分析可能

最大φ2 インチウェーハ

観察可

最大加速電圧:20kV

低角反射電子像観察可

小坂研究所製

ET200

最大φ150mm ウェーハ

最大 30mm 厚

測定範囲:

測定力: - N

横軸駆動距離:100mm

Agilent 製

E5071C ENA

S11, S21 測定が可能

測定周波数範囲

100KHz ~ 8.5GHz

ダイナミックレンジ 123dB

標準プローブの電極間隔

250μm

日立ハイテク製

SU-70

(EDX-Oxford 社製

Aztec Energy X-Max)

分解能:1.0nm(15kV)

:1.6nm(1kV)※

※リターディングモード

液体窒素の必要が無い

EDX の為,迅速に利用可能

エリプソ(CR)

4 探針測定器

赤外接合評価装置

イオンコーティング装置

ホリバジョバンイボン製

分光エリプソメータ

UVISEL

最大φ8 インチウェーハ

波長:260nm-2100nm

膜厚分析

光学定数(n,k)分析

面内分布測定

KYOWARIKEN 製

4探針測定器

K-705RS

サンプルの電気抵抗率を

測定

モリテック製

ウエハ接合評価装置

IRise

赤外透過評価・検査装置

最大 8inch

日立製作所製

E-1030

15mA, 7Pa

試料-ターゲット間距離 30 mm

チャージアップする試料の SEM

観察時にPdPt を成膜することに

より観察しやすくなる.

デポレート 6nm/min

薄膜でも緻密に成膜可

分析・評価

主要装置紹介

Page 4: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

SIMS

ESCA/AES 分析装置

紫外分光エリプソメーター

紫外可視分光光度計

接合力評価装置

島津 SPM

CAMECA 社製

SIMS4000

四重極 SIMS(e-gun 有り)

一次イオン銃 O2+, Cs+

加速電圧実効

1keV~10keV

極微量元素分析が可能

操作方法が簡単なので,

初心者でも利用可

アルバック・ファイ製

ESCA1600

XPS 表面分析(深さ 10 nm)

ARXPS,Ar エッチング

オージェ電子分光

試料表面の組成分析 Ar エッ

チ ン グ に よ る 深 さ 方 向 分 析

ARXPS による極薄膜の厚さ測

定,オージェ電子分光測定

J.A.Woollam 製

M-2000D

測定波長範囲

193nm~1000nm

各種薄膜の光学定数,

膜厚を測定可能.解析

ソフトウェアで複雑な構造の

サンプルにも対応可能

日本分光製

V-570

波長範囲

190nm~2500nm

紫外から近赤外まで

測定可能

RHESCA 製 PTR-1101

シェア/プル/プッシュ

/ピール試験

(破壊、非破壊方式)

センサ荷重範囲

プル:20gf~20kg

プッシュ:20gf~20kg

ピール:100gf~5kg

シェア:100gf~100kg

島津製作所製

SPM9500-J2

高精度の表面形状測定が可能.

導電性像,位相像などの取得も

可能 .試料サイズは約φ10mm

以下.最大走査範囲は 125μm.

頻繁に使用する場合は,カンチ

レバーを準備・持参すること

FIB

薄膜評価装置

全真空顕微 FT-IR

高周波レーザードップラ計

マイクロシステムアナライザ

UHV-STM&AFM

日立ハイテク製 SMI500

FIB 加工による 100nm

~100μmサイズの微細構造の

作製および観察

加工・観察領域:

100nm~100μm

最大観察倍率:30,000 倍

像分解能:12 nm

最大観察視野:0.4mm×0.4mm

NEC三栄製 MH4000

薄膜材料の機械的特性を測定

(硬度,付着力,ヤング率,内部応

力) 20×20mm, t=5mm(MAX)

荷重範囲:0.98μN~98mN

(検出分解能:0.098μN)

押込み深さ測定範囲:0~5μm

(変位検出分解能:4nm)

日本分光製

本体部:FT/IR-6300

顕微部:IRT-7000

透過,反射,ATR,RAS

の各種測定が可能.

微小部分析,マッピング分析が

可能.

真空測定が可能なので,水蒸気

等の影響が除去可能

Polytec 製

UHF-120

微小構造物や表面弾性波素子

の面外振動特性を測定可能

最大振動周波数 1.2 GHz

Polytec 社製 MSA-500

レーザドップラー振動計による

面外振動 (振動周波数 :~24

MHz,最大振動ピーク速度:±

10 m/s,速度分解能< 1 μm/s)

ストロボスコープによる面内振動

(振動周波数: 1 ~ 1MHz,最

大速度 0.1 m/s~ 10 m/s,変

位分解能: >1nm)

干渉計顕微鏡による表面形状

評価(最少分解能:垂直方向: <1

nm,最大高さ 250 µm)

日本電子製 STM-4500XT

極めて高い精度の表面形状測

定が可能.STM は原子分解能を

有する.試料サイズは約 2mm×

5mm 程度.装置の操作にはかな

りの知識とトレーニングが必要

Page 5: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

パッケージングや材料・構造の転写のための基板接合装置群。基板同士を貼り合わせるための

ボンダーや位置合わせ・評価等関連技術に対応可能。

EVG プラズマ表面活性化装置

EVG ボンダ

※その他、ワイヤボンダ装置有

EV グループ製

EVG810

ウェハのプラズマ処理

最大 6inch ウェハ

プラズマ処理により低温接合

EV グループ製

EVG520

ウェハボンディング

2cm 角, 2inch ウェハ,

4inch ウェハ

EVG アライナ

フリップチップボンダ

EV グループ製

EVG620

マスク露光及びボンドアライメン

ト,陽極接合等の為のアライメン

ト,通常のマスク露光及び陽極

接合,直接接合等の際のアライ

メントが可能.アライメント後のサ

ンプルは MNC-CR 内の EVG

Bonder にて接合可能

完エレクトロニクス製

MODEL-6000

チップサイズのボンディング

最大 20×20mm

最大荷重:5000gf

視野範囲:縦 0.6mm×横 0.8mm

~縦 4.1mm×横 5.4mm

各種ドライエッチング装置を完備。加工したいサンプルの種類、形状に応じて、適切な装置を選

択できる。

STS ICP RIE#1

SPP テクノロジーズ製

ICP-RIE

MUC21

φ2 インチウェーハが処理可能

HBr,SF6,C4F8,他

高清浄エッチング可

エッチングレート:

約 150nm/min

ANELVA RIE #1

キャノンアネルバ製 L-201D

RF 150W, TMP 搭載

SF6, CF4, CHF3, Ar, O2

2cm 角 ~ 3inch ウェハ

エッチング対象:

Si,金属,有機物,

絶縁物,誘電体

基板加熱・冷却が可能

エッチングレート約 10nm/min

(条件により変動あり)

ボンディング

エッチング

Page 6: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

ANELVA RIE#2

SiN エッチャー

微細電極形成装置

O2 アッシャー

蒸気オゾンレジスト除去装置

キャノンアネルバ製,L-201D-L

CCP-RIE,RF<100W,自己バイア

ス ,Cl2,BCl3,CH4,Ar,O2,N2,3in

ch ウェハ塩素系混合ガスを用い

た反応性イオンエッチングが可

能.金属薄膜,その他薄膜のエッ

チングプロセス,プロセス後にそ

の場でレジスト除去するための

Ar,O2 エッチングプロセスチャン

バ有り.2cm 角ウェハなどはレジ

ストで 3inch のダミーウェハに貼

付け

SAMCO 製

CCP-RIE

RIE-10NR

φ2 インチウェーハが処理可能

選択比 SiN:SiO2=20:1 以上

高清浄エッチング可

ULVAC 製

NE-550

Cl2,BCl3,CF4,O2

φ2 インチウェーハが処理可能

Al,W 異方性エッチング可

高清浄エッチング可

ダウンフロー方式

O2,N2

レート:約 100nm/min

高清浄アッシング可

テクノファイン製

OV-20S

炭化レジスト,BCB,SU-8,

ポリイミドなどの難除去性樹脂

を,残渣なく除去できる.

試料サイズは 20mm 角

FAB

STS ICP RIE #2

DFR CONTACT ETCHER

XeF2 エッチャー

UV 照射器

荏原製作所製 FAB-60ML

高速原子線による高精度加工

高精度垂直加工可能

使用ガス:O2, SF6, CHF3,Cl2

加工可能試料:Si, GaN, 金属

等.加工可能試料サイズ:2cm 角,

最大 2inch

エッチングレート:シリコン=約

20nm/min

住友精密製 MUPLX - SU2000

ICP-RIE, Bosch プロセス

RF 800W, Platten 15W

SF6,C4F8,Ar,O2, 2cm 角,4inch

ウェハ Si の垂直エッチングが可

能.基板貫通可,アスペクト比 20

程度.

Resist:Si=1:100, SiO2:Si=1:300

エッチングレート約 2.3µm/min

ダミーウェハへの貼付け必須

キヤノンアネルバ製

2 周波励起-RIE

L-211D

φ2 インチウェーハが処理可能

使用ガスは C4F8,O2,Ar

選択比 SiO2:Si=15:1 以上

静電チャック+He 冷却機構

自作装置.

Siのエッチングで選択比が極め

て高く,等方性エッチング特性を

持つエッチング装置

3次元立体的微細構造の高精

度加工が室温のXeF2ガスで容

易に可能.SiO2,SiN,レジスト

のマスク材との選択比は10000

以上

Samco 製 UV 照射装置

UV-T

O2,N2 ガス利用可能

O3 生成器

ホットプレート加熱可能

O3+UV による有機物除去

N2+UV によるレジスト硬化

Page 7: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

半導体微細加工に用いられる金属および誘電体薄膜を成膜するための装置を各種揃えている。

スパッタリング成膜、蒸着、CVD 等の多様な成膜方法に対応可能。スパッタリング装置はターゲット

の持ち込みも可能。

パイロ酸化炉

プラズマ SiN-CVD

P-TEOSCVD

RF スパッタ(Al スパッタ)

リケン製

熱酸化プロセス

温度は 1000℃前後

φ2 インチウェーハが処理可能

使用ガスは O2, H2, N2

ゲート酸化膜形成

LOCOS 形成

Poly-Si 酸化処理可

高清浄膜成膜可

SAMCO 製 PE-CVD 装置

PD-220NL

プロセス温度は 350℃前後

φ2 インチウェーハが処理可能

成膜レート:約 10 nm/min

高清浄膜成膜可

SAMCO 製 PE-CVD 装置

PD-10C

プロセス温度は 300℃前後

最大φ6 インチウェーハ

処理可能

TEOS-SiO2 の高速成膜可

成膜レート:約 300nm/min

ULVAC 製 RF スパッタ装置

MPS-2000-HC3

Al,W,Ni

φ2 インチウェーハが処理可能

Al:50 nm/min

W:20 nm/min

Ni:10 nm/min

高清浄金属膜のスパッタ可

TEL LPCVD

YOUTEC プラズマ CVD

UHV-CVD

SHIBAURA スパッタ#1

東京エレクトロン製 LPCVD 装置

プロセス温度は 700℃前後

φ2 インチウェーハが処理可能

SiO2,SiN,Poly-Si

SiO2:約 10 nm/min

SiN:約 1nm/min

Poly-Si:約 5nm/min

高清浄膜成膜可

YOUTEC 製 PE-CVD 装置

プロセス温度は 300℃前後

φ2 インチウェーハが処理可能

TEOS-SiO2 の高速成膜可

成膜レート:約 300nm/min

エア・ウォーター製

VCE-S2103TH

超高真空 CVD 装置

Si,SiGe の単結晶成長

プロセス温度は 700℃前後

高清浄エピタキシャル成長可

成長レート:約 10nm/min

選択成長可

シバウラ製 RF スパッタ装置

CFS-4ES

φ2 インチ,20mm 角ウェーハ

最大 300W

金属,非金属,反応性スパッタ

最大 3 ターゲット設置可

加熱しながらの反応性

スパッタ可

成膜装置

Page 8: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

SHIBAURA スパッタ#2

DC 対向ターゲットスパッタ

JEOL スパッタ

RF 対向ターゲットスパッタ

ASTeX プラズマ CVD

シバウラ製 CSF-4ES-Ⅱ

金属薄膜の成膜,2 cm 角~

4inch ウェハ

Au, Cr,Pt,Ti,Cu,Al,Ta,W

など金属全般の薄膜生膜が可

能.成膜レートは金属によって異

なるが,数十 nm/min 程度 MNC

に保管されていないターゲット

は応相談

大阪真空製 特注機

ターゲット 1 組(3 インチ),基板

加熱(~300℃)または水冷,RF

(300 W)または DC スパッタ,逆

スパッタ可能.クライオポンプと

TMP を装備対向ターゲットスパ

ッタによって,基板へのダメージ

を減らして成膜が可能.クライオ

ポンプを有しており,バックグラ

ウンド真空度が高い.機能性薄

膜の堆積に適した装置

日本電子株式会社

JEC-SP360R

RF800W

最大 3inch ウエハ

成膜可能材料:SiO2,Pt,Cr

Ti,PZT,Al,Au,Si など

リフトオフプロセス可能

成膜レート[nm/min]

Cr:90,SiO2:8 など

大阪真空製 FTS-R3S

ターゲット:Fe, Ni, Co etc.

DC Power 400W

直流対向ターゲット方式による,

鉄,ニッケル等の磁性体を成膜.

基板へのダメージを低減可能.

成 膜 レ ー ト : Fe: 400W,20

nm/min 対象サンプルサイズは

20 mm 角又は Φ2 in

セキテクノトロン社製

Model; AX5200

MW power; 1500W

ダイヤモンド成膜用

ダイヤモンド及びボロンドープ

ダイヤモンドを成膜することが

可能.成膜レートは

約 0.5μm/hr

基板加熱可能.基板の

前処理としてダイヤモンド

の種付けが必要

ECR スパッタ

ANELVA スパッタ

EIKO スパッタ

EB 蒸着

めっきセット#1,#2

MES ア フ テ ィ 株 式 会 社 製

AFTEX2300

窒素雰囲気で AlN のスパッタ成

膜のみ可能(その他の膜は不

可)RF Power 500W, Microwave

Power 500W2cm 角,4inch ウェハ

AlN 成膜レート 5~8nm/min

AlN 膜ストレス 0.3~2.7 GPa

(十分な制御は未達成)

AlN 膜 XRD ロッキングカーブの

半値幅 3~6°

アネルバ製

ANELVASPC-350 EVP 24821

スパッタリング RF 300W

O2,N2,Ar ガス使用可能

~2inch ウェハ

反応性スパッタリング可能

リフトオフ不可,ロードロック室有

連続成膜可スパッタリングレート

1~2 nm/sec 程度(材料による)

EIKO 製 ES-350

Pt, Ti, Ru, etc. (3 inch, 5 target)

RF 300W, 5 mTorr, 600℃(Max)

Ar,O2, 2cm 角,2inch ウェハ

清浄な金属薄膜(単層及び多

層)形成スパッタ堆積レート Pt

60 nm/min 最大連続成膜時間

約 7 min(Max)ターゲット強制冷

却が出来ないため,レジスト付着

サンプルの投入不可

ANELVA 製 VI-43N

フィラメント電流 ~100mA

Au, Ag, Pt, Al, Cr, Ti, SiO2,

HfO2, Ge, 2 cm 角, 4 inch ウェ

ハ水晶振動子による厚さ測定が

可能.レジストによるリフトオフが

可能.3 種類までの連続成膜が

可能 Au : 約 2 nm/sec, Ti : 約

0.6 nm/sec, Cr : 約 1 nm/sec

SiO2 : 約 5 nm/sec, Al : 約 2

nm/sec, HfO2 : 約 3 nm/sec

Au, Cu, Ni, Au-Sn, Ge

2 cm 角, 4 inch ウェハ

金属の厚膜めっき用電源

Au : 約 0.12 μ m/min (0.4

A/dm2) Cu : 約 0.22 μm/min

(1.0 A/dm2) Ni : 約 0.83 μ

m/min (0.43 A/dm2)

Page 9: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

MBE

ホットフィラメント CVD

フェムト秒レーザー

レーザーダイサー

Riber 製

Riber MBE 32 system

超高真空 10-9 Torr

2 cm 角, 3 inch ウエハ

GaN の結晶成長

成長速度 <30 nm/min

自作装置

ダイヤモンドの成膜が可能.

タングステンフィラメント

水素,メタンが使用可能.

ダイヤモンドの成膜が可能.

タングステンフィラメント

を 2000℃程度まで加熱して

熱エネルギーにより

ダイヤモンド膜を形成.

Clark-MXR 社 CPA-2001

熱ダメージの無い

レーザーアブレーション

精密多軸ステージと組み合わせ,

立体構造にもアブレーション

可能

自作(市販レーザー組上げ)

Si,テンパックスガラス内部に加

工,最大 25×25mm

パルスファイバーレーザー(SPI

Lasers),パルスグリーンレーザー

(メガオプト),CO2 レーザー(シン

ラッド)サンプル厚みにより条件

出し必須

PDL

YAG レーザー

㈱パスカル製

誘電体膜の堆積が可能.

金属ターゲット不可

(レーザ反射の危険性のため)

装置汚染が懸念される

ターゲット不可.

(測定前に担当者と要相談)

基板サイズ 20mm 角

NEC 社製

Nd YAG LASER SL 115G

ドライプロセス&局所的加工 Q

スイッチの使用ー>大ピークの

パルス光 1064 nm 100W, Class

IV 作製したデバイスの分離ステ

ンレス,SMAなどの切断や溶接メ

タルワイヤー上の皮膜の選択除

去.PZT のレーザー支援エッチ

ング電流範囲(A):シリコン 24~

26 ガラス 40~42

レーザー加工装置

Page 10: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

マスク作製装置、直接描画装置、露光装置を設置している。

レーザー描画装置(MNC)

レーザー描画装置(ナノ棟)

簡易マスク作製装置

イオン注入装置

ハイデルベルグ製

He-Cd レーザー

DWL2000SD

最小描画サイズ:

最大描画スピード:29mm2/min

アライメント精度:70nm

レチクル作製時エッジディテクタ

使用可

ハイデルベルグ製

DWL200

リソグラフィ用ガラスマスク作製

レ ー ザ ー ダ イ オ ー ド

(50mW@405nm) エマルジョンマ

スク・Cr マスクの作製

<マスク最小パターン>

KONICA MINOLATA 製 : 約

30µm,FUJIFILM 製:約 5µm

Cr マスク:約 2µm

株式会社ナノテック製 MM605

1/5 縮小露光フォトマスク作製

機.OHP マスク原版

撮像寸法 59.4×59.4mm(視野

寸法 297×297mm)

倍率 0.2 倍

インクジェットで OHP

マスク原版作製

エマルジョンマスク

L/S=200μm 程度可能

ULVAC 製

IMS3500

中電流型

MAXφ8 インチウェーハ

処理可能

加速電圧:5kV~150kV

P,As,B 注入可能

注入角度:0°, 7°

JEOL EB

JEOL EB 5000LSS

投影露光装置

RTA#1

日本電子製

電子線描画装置

JBX-6300SK

最大φ8 インチウェーハ可能

最大加速電圧:100kV

高清浄描画可

φ2 インチ×4 のバッチ処理可

日本電子製

JBX-5000LS

加速電圧 50 kV

2 cm × 2 cm ウェハ

最小描画線幅:約 100 nm

描画速度: の領域で 40

分程度.アライメントマーク 使用

による重ね露光可能.2cm ウェハ

を 4 枚まで連続で描画可能

Ushio 製 UX-2003SM-AGG01

段差のある構造(~50μm)へ

の一括露光.

粘着性のあるレジストへの露光

基板サイズ 20mm 角,φ2 inch

またはφ4 inch

表面/裏面アライメント機能有

光洋サーモシステム社製

RLA-1208-V

急昇温アニール装置

φ2 インチウェーハが処理可能

昇温レート:最大 150℃/sec

最大温度:1200℃

真空下および N2 雰囲気処理可

高清浄アニール可

リソグラフィー

その他

Page 11: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

水素アニール炉

基板加工装置

研削装置

紫外線露光装置

CW Ti-S リングレーザー

光洋サーモシステム社製

H2 アニール処理

φ2 インチウェーハが処理可能

最大温度:650℃

H2,N2 の混合ガス

雰囲気処理可

高清浄アニール可

ミッツ株式会社製 DFM-400

プリント基板加工

外形加工 穴あけ

パターン形成

穴あけドリル径 0.2~1.5mm

最小線路幅 0.1mm

NANO FACTOR 製

NVG-200A

水平回転する砥石を上下に移

動させることにより,サンプルを研

削する.研磨よりもレートが早い

ので,1 時間あたり 400~500nm

くらい削ることが可能.(ガラスの

場合).レートを上げすぎると,サ

ンプルが割れてしまう恐れ有り

ウシオ電機株式会社製

VB-70203BY

使用ランプ型式 UVL-700M4-H

(強制冷却)

発 光 長 380mm, Uniformity

14.8 % /190 mm 角

全面紫外線照射(ダイシング

テープの接着層分解,

灰化処理 etc.)

Spectra-Physics 製

Millennia X

Microlase optical systems 製

MBR E-110

波長 近赤外可変

出力 ~1 mW

連続波

2 流体洗浄装置

研磨装置

圧縮引張試験機

ダイサー

光パラメトリック発振機

アクテス㈱製 ADE-3000S

水または有機溶媒(IPA 等)

による2流体噴霧洗浄装置

基板サイズ 20mm 角

または 4 inch

ウェハ回転数 0~3000rpm

ウェハ揺動角度 0~40°

Logitech 社製 PM5

1ワークステーションタイプ

CMP 用耐薬品モデル

可変スイーピングアーム

4inch までのウェハの研磨・研削

12inch の研磨盤のストックは,鋳

鉄製・ポリウレタン製・スズ製・ア

ルミ製(バフ貼り付け用)のもの

があり,幅広い研磨が可能

東洋精機製作所製

STROGRAPH-R1

荷重容量:最大 500kg

引張り圧縮兼用

試験速度:1mm/min~

500mm/min の 18 ステップ

有段

DISCO 製 DAD522

最大ワークサイズ φ152.4

ウェハの切断

加工対象:Si,ガラス, 水晶,

リチウムナイオベイト,その他

ダイシングテープ等への貼付け

必須

Continuum 製

Powerlite model 9010

Mirage 500

浜松ホトニクス製 C5095

波長 425~2120nm

パルス幅 5ns

パルス繰り返し率 10Hz

出力 < 1J@532nm,

分光器 可視域

Page 12: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

ホール効果測定装置

オリンパス SPM

蛍光 X 線膜厚計

自作

ステージ温度 300K~400K

サンプルサイズ 8mm 角

真空環境で測定可

伝導型の判定が可能

キャリア密度の評価が可能

移動度の評価が可能

オリンパス製 NV-2000

表面形状測定が可能.導電性像

も取得できる.試料サイズは約φ

15mm 以下を推奨.最大走査範

囲は 100μm.頻繁に使用する

場合は,カンチレバーを

準備・持参

セ イ コ ー イ ン ス ツ ル メ ン ツ 製

SFT8000

金属薄膜の膜厚を測定

可能

屈折率評価装置

PL 測定装置

Metricon 製 モデル 2010

プリズムカプリング方式

透明または半透明材料の評価

が可能。屈折率と膜厚値の同時

計測が可能.

屈折率精度:±0.001

膜厚精度:±(0.5%±50Å)

屈折率分解能:±0.0003

膜厚分解能:±0.3%

自作

励起光波長 325nm

励起光強度 200mW

試料 1.5 cm x 1 cm

冷却 PL 測定(8K 程度)

が可能

MNC (マイクロ・ナノマシニング研究教育センター) 022-795-6256

工学研究科 バイオロボティクス専攻 田中(秀)研究室 022-795-6937

工学研究科 機械システムデザイン工学専攻 小野研究室 022-795-5810

医工学研究科 田中(徹)研究室 022-795-6909

工学研究科 ナノメカニクス専攻 羽根研究室 022-795-6965

工学研究科 ナノメカニクス専攻 桑野研究室 022-795-4771

医工学研究科 芳賀研究室 022-795-5251

工学研究科 ナノメカニクス専攻 坂研究室 022-795-6898

工学研究科 機械システムデザイン工学専攻 湯上研究室 022-795-6925

装置担当研究室連絡先

Page 13: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

・イエロールーム

1. EVG アライナ

(田中秀研,小野研)

2. EVG 接合用基板洗浄

(小野研)

3. プラズマ活性化装置

(小野研)

4. ドラフト群

5. 2 流体洗浄装置

(田中秀研)

6. スピンコーター,オーブン

(田中徹研)

7. レーザー描画装置

(田中徹研)

8. ミカサアライナー

(小野研,MNC)

・暗室

9. JEOL-SEM

(田中徹研)

10. JEOL-EB

(田中徹研)

・メインクリーンルーム

11. ドラフト群

12. 水素アニール炉

(田中徹研)

13. パイロ酸化炉

(田中徹研)

14. TEL LPCVD

(田中徹研)

15. RTA#1

(田中徹研)

16. 接触式段差計

(田中徹研)

17. ICP-RIE ペガサス A

(小野研)

18. YOUTEC プラズマ CVD

(田中徹研)

19. エリプソメーターCR

(田中徹研)

20. EVG ボンダ

(小野研,羽根研)

21. STS ICP RIE#1

(田中徹研)

22. P-TEOS CVD

(田中徹研,芳賀研)

23. プラズマ SiN-CVD

(田中徹研)

24. O2 アッシャー

(田中徹研)

25. SiN エッチャー

(田中徹研)

26. Al エッチャー

(田中徹研)

27. SiCN Cat-CVD

(小野研)

28. ANELVA RIE#2

(小野研)

29. DFR CONTACT ETCHER

(田中徹研)

30. STS ICP RIE#2

(小野研,桑野研)

31. 微細電極形成装置

(田中徹研)

32. ANELVA RIE#1

(田中秀研,田中徹研)

33. FAB

(羽根研)

34. イオンビームミリング装置

(田中秀研)

35. イオン注入装置

(田中徹研)

36. UHV-CVD

(田中徹研)

・金属蒸着室

37. JEOL スパッタ

(桑野研)

38. EIKO スパッタ

(田中秀研)

39. RF スパッタ(Al スパッタ)

(田中徹研)

40. 4 探針測定器

(田中徹研)

41. RF 対向ターゲットスパッタ

(田中秀研)

42. EB 蒸着

(田中秀研,羽根研)

43. ANELVA スパッタ

(坂研,湯上研)

44. DC 対向ターゲットスパッタ

(田中秀研)

45. 先端融合ウェハボンダ

(田中秀研)

46. AlN スパッタ

(桑野研)

47. SHIBAURA スパッタ#2

(小野研)

48. RTA#2

(田中徹研)

49. ECR スパッタ

(田中秀研,桑野研)

50. SHIBAURA スパッタ#1

(田中徹研)

51. ドラフト群

1階クリーンルーム

装置配置図

( )内は主担当研究室

Page 14: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

・組立評価室

1. HIPOS

(小野研)

2. 紫外線露光装置

(桑野研)

3. ドラフト

4. 蒸気オゾンレジスト除去装置

(田中秀研)

5. 屈折率測定装置

(羽根研)

6. ワイヤボンダ

(田中秀研)

7. レーザードップラー振動計

(小野研)

8. ULSI テストシステム

(田中秀研)

9. めっきセット#1,#2

(田中秀研)

10. ドラフト

11. 14GHz ネットワークアナライザ

(田中秀研)

・光学測定室

12. 赤外接合評価装置

(小野研,MNC)

13. 断面観察試料作製装置

(田中秀研,MNC)

14. CW Ti-S リングレーザー

(羽根研)

15. マルチチャンネル分光器

(羽根研)

16. 光パラメトリック発信機

(羽根研)

17. ASTeX プラズマ CVD

(田中秀研)

18. 紫外分光エリプソメーター

(MNC,小野研)

19. 圧縮引張試験機

(田中秀研)

20. XeF2 エッチャー

(芳賀研,田中秀研)

21. 光造形装置

(芳賀研)

22. フェムト秒レーザ

(芳賀研)

・光学測定室

23. FE-SEM

(MNC,湯上研)

24. FIB

(桑野研)

25. 熱電子 SEM

(小野研,田中秀研)

26. 蛍光 X 線膜厚計

(MNC)

27. SIMS

(MNC)

28. マルチターゲットスパッタ

(小野研)

29. 急冷機構付真空加熱装置

(芳賀研)

30. イオンコーティング装置

(羽根研,田中秀研)

・レーザー加工室

31. YAG レーザー

(小野研)

32. VIM・蛍光顕微鏡

(小野研)

33. パレリン蒸着

(田中秀研,芳賀研)

34. ドラフト

35. PLD

(田中秀研)

36. ホール効果測定装置

(羽根研)

37. MBE

(羽根研)

38. PL 測定装置

(羽根研)

39. 薄膜評価装置

(田中秀研,MNC)

2階

( )内は主担当研究室

Page 15: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

・実験室

1. 研削装置

(田中秀研,小野研)

2. 研磨装置

(田中秀研,小野研)

3. 全真空顕微 FT-IR

(MNC,小野研)

4. フリップチップボンダ

(小野研,田中秀研)

5. マイクロシステムアナライザ

(小野研,田中秀研)

6. 紫外可視近赤外分光光度計

(MNC,田中秀研)

7. ニードルディスペンサー

(田中秀研)

8. 高周波レーザドップラ計

(田中秀研)

9. 接合力評価装置

(田中秀研)

10. レーザーダイサー

(小野研,田中秀研)

・電気実験室

11. インピーダンスアナライザー

(芳賀研)

12. プローバー

(田中秀研)

13. 基板加工装置

(芳賀研,田中秀研)

1. PE-CVD(CNTs)

(田中秀研,小野研)

2. ホットフィラメント CVD

(田中秀研)

3. UHV-STM&AFM

(小野研,田中秀研)

4. ESCA 分析装置

(MNC,田中秀研)

5. 走査型プローブ顕微鏡 Shimazu

(田中秀研)

6. 走査型プローブ顕微鏡 Olympus

(田中秀研)

7. 投影露光装置

(田中秀研)

8. レーザードップラ振動計

(小野研)

9. マスクレス露光装置

(田中秀研)

10. JEOL EB 5000LSS

(羽根研,田中秀研)

11. 縮小カメラ・現像

(小野研)

12. レーザー描画装置

(桑野研,小野研)

13. パターンジェネレーター

(小野研)

14. 簡易マスク作製装置

(田中秀研)

その他の建物 ・MNC 分室(フロンティア棟)

1. ダイサー

(桑野研,小野研)

3階

ナノマシニング棟

( )内は主担当研究室

Page 16: 装置カタログvbl.mech.tohoku.ac.jp/jp/mnc_equipment2014.pdf透過,反射,ATR,RAS の各種測定が可能. 微小部分析,マッピング分析が 可能. 真空測定が可能なので,水蒸気

東北大学マイクロ・ナノマシニング研究教育センター

アクセスマップ

東北大学大学院工学研究科

附属マイクロ・ナノマシニング研究教育センター 〒980-8579 宮城県仙台市青葉区荒巻字青葉 6番 6号 01

TEL: 022-795-6256・6257・6258 FAX: 022-795-6259

E-mail: [email protected] URL: http://www.mnc.mech.tohoku.ac.jp/

マイクロ・ナノマシニング

研究教育センター

青葉山キャンパス