43
Page 1 32 Разрядные Процессоры 32 Разрядные Процессоры Intel® Intel® для Телекоммуникационной для Телекоммуникационной Промышленности и Встраиваемых Промышленности и Встраиваемых Приложений Приложений Буравлев Александр Буравлев Александр Организация Продаж Компонентов для Организация Продаж Компонентов для Промышленности Средств Связи Промышленности Средств Связи Интел, Москва Интел, Москва

Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Embed Size (px)

Citation preview

Page 1: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 1

32 Разрядные Процессоры 32 Разрядные Процессоры Intel® Intel® для для Телекоммуникационной Телекоммуникационной

Промышленности и Встраиваемых Промышленности и Встраиваемых ПриложенийПриложений

Буравлев АлександрБуравлев Александр

Организация Продаж Компонентов для Организация Продаж Компонентов для Промышленности Средств СвязиПромышленности Средств Связи

Интел, МоскваИнтел, Москва

Page 2: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 2

Новые Дизайны в 2002 годуНовые Дизайны в 2002 году

Comms

Industrial AutomationHandheld/

Consumer

Measurement

Security

Imaging / Printing / Display

POS/ATM

Various

Page 3: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 3

Глобальная ТенденцияГлобальная Тенденция

Быстрая миграция кБыстрая миграция к……– Модульным ПлатформамМодульным Платформам

– Пакетной передаче трафикаПакетной передаче трафика

– Web-Web-базирующихся приложенияхбазирующихся приложениях

Proprietary,Proprietary,In-houseIn-housesystemssystems

Standards-based Standards-based Off The ShelfOff The Shelf

Outsourced,Outsourced,Custom,Custom,

ComponentsComponents

Standards basedStandards basedSemi-customSemi-custom

Процессоры

Платформы

ОС

Приложения

Page 4: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 4

Передача Данных и ГолосаCAGR

(’03-’07)

Data 15%

Voice 0%

Total 4%

0

200

400

600

800

1,000

1,200

1,400

1,600

2002 2003 2004 2005 2006 2007

$ B

illio

ns

Page 5: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 5

Интел и ДругиеИнтел и Другие

7% 7%

6%

5%5% 5%

4%

3% 3% 3%3% 2% 2% 2% 2% 2% 2%

0

1

2

3

Sales($B)

0%

1%

2%

3%

4%

5%

6%

7%

8%

MSS

$0

$2

$4

$6

1996 2002

Ca

pE

x ($

Bn

)

Intel

Samsung

TSMC

IBM*

STMicro

Micron

Infineon

TI

UMC

AMD

Инвестиции

Продажи для телекоммуникационной Отрасли 2002

Page 6: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 6

Low Power

Требования: Low power, but still good performance Low profile Higher temperature range New “micro-power” roadmap for lowest power/cost designs

Сегменты рынка: Интерактивные клиентыОбработка изображений

Промышленная автоматизация

Scalable Performance

Требования :– Multiple Performance /

– price options within same

– Design

– Balance of

– Performance / features

– vs.cost

– Hyperthreading

Сегменты рынка:Интерактивные клиенты

Телеком оборудование среднего уровня

Промышленная автоматизация

Медицина

High Performance

Требования : Highest performance

(within different power envelopes)

Dual processing High I/O bandwidth, Data

integrity Large memory

Сегменты рынка:Телеком и хранение

данных

Обработка изображений верхнего уровня

Три Вектора для встраиваемых приложений

Page 7: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 7

Обзор Групп ПродукцииОбзор Групп Продукции

Page 8: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 8

«Классические» Продукты для встроенных «Классические» Продукты для встроенных приложенийприложений

Intel Architecture Processors/chipsetsIntel Architecture Processors/chipsetsGrowth in Non-comms Industrial, Imaging, POS, ATM…Growth in Non-comms Industrial, Imaging, POS, ATM…

Xeon - Pentium 4, Pentium 4-mXeon - Pentium 4, Pentium 4-m

Pentium III - Celeron, ULV CeleronPentium III - Celeron, ULV Celeron

Pentium M ProcessorPentium M Processor

Ethernet ControllersEthernet ControllersComprehensive product line of 10/100 and Gigabit Comprehensive product line of 10/100 and Gigabit

controllers, single/dual port chips, PCI, PCI-X or LCI controllers, single/dual port chips, PCI, PCI-X or LCI bus interface, 32-bit or 64-bitbus interface, 32-bit or 64-bit10/100 combined MAC and PHY10/100 combined MAC and PHY

Gigabit combined MAC and PHY (2.1W, 21mGigabit combined MAC and PHY (2.1W, 21m2 2 Package)Package)

10 Gigabit MAC’s10 Gigabit MAC’s

NIC CardsNIC Cards

Embedded PCEmbedded PC

RR

RC82545GM

РынкиРынкиПродуктыПродукты

PCI BridgesPCI BridgesMSS Leader in bridgesMSS Leader in bridges

Both speeds(33MHz 66MHz), Both widths(32bit 64bit),Both speeds(33MHz 66MHz), Both widths(32bit 64bit),

Transparent and Non-TransparentTransparent and Non-Transparent

PCI-X Bridges getting embedded traction(31154- Verazzano)PCI-X Bridges getting embedded traction(31154- Verazzano)

Page 9: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 9

IntelIntel®® Pentium Pentium®® M M ПроцессорПроцессор

ЧастотаЧастота 1.60 GHz / 1.10 GHz Low Voltage1.60 GHz / 1.10 GHz Low Voltage

МощностьМощность (TDP) (TDP) 24.5 Watts / 12 Watts24.5 Watts / 12 Watts

ШинаШина:: 400 MHz400 MHz

L1/L2 Cache:L1/L2 Cache: 32 KB / 1 MB32 KB / 1 MB

Compatibility:Compatibility: 100% Intel Architecture compatible100% Intel Architecture compatible

Режимы Режимы Intel SpeedStep® TechnologyIntel SpeedStep® Technology

КорпусаКорпуса:: 1.60 GHz: 478-pin µ-FCPGA, 479-ba1.60 GHz: 478-pin µ-FCPGA, 479-ba lll µ-l µ-FCBGAFCBGA

1.10 GHz Low Voltage: 479-ball µ-FCBGA1.10 GHz Low Voltage: 479-ball µ-FCBGA

T:T: 100o C junction100o C junction

Standard Standard Low Voltage Low Voltage Value Value Micro-Power Micro-Power

Now Now Now Now Q1’04 Q1’04 Q3’04 Q3’04

E7501/855GME E7501/855GME 855GME 855GME

11.6 GHz 1.1 GHz 1.3 GHz 600 MHz 1MB L2 1MB L2 512K L2 512K L2 1.8 GHz (Dothan) > 1.1 GHz (Dothan) 1.0 GHz (Dothan)

2MB L2 Q1’04 2MB L2 Q1’04 512K L2 Q4’03

Intel® Pentium® M

1.40 GHz

1.20 GHz

1.0 GHz

800MHz

1.420V

1.276V

1.164V

1.036V

0.956V 600 MHz

6W

1.48V

1.60 GHz24.5W

Page 10: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 10

DDR 200/266 MHz2 SO DIMM

Intel® Pentium®

M processor

Intel® Pentium® MProcessor Bus

USB 2.0/1.16 ports

2 ATA 100Channels

PCI2.2

LAN/HPNA

FirmwareHub

LPC Interface

AC’97Connector

KBD

3 CODECs

Super I/O

Hub Interface

1.5

ICH-4

LCDPanel

DVOB

DVOC855GME

VGA

Intel® 855GME ICH-4 Intel® 855GME ICH-4 чипсетчипсет

ProcessorProcessor– Intel® Pentium M Processor

Integrated GraphicsIntegrated Graphics– High Performance 32-bit 3D/2D Graphics Core with

Dynamic Video Memory Technology at 266MHz– Dual independent display– Integrated Low Voltage Differential Video Signal

(LVDS) Interface

MemoryMemory– DDR266 or 333 (TBD)– 2.0 GB Max memory– 2 DIMM, ECC

I/O Connectivity – ICH4I/O Connectivity – ICH4– 6 Hi-speed USB2.0 ports– Enhanced audio

EID ScheduleEID Schedule– Launch target Q4’03

Масштабируемое решение с долгим временем жизни

Page 11: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 11

Ультра низковольтный Intel® Celeron® процессор и 815E чипсет

Наилучшее соотношении производительность/цена Наилучшее соотношении производительность/цена The Tolly Group Benchmark reportThe Tolly Group Benchmark report– http://www.tolly.com/TS/2003/Intel%20Corp/CPU%20Testing%20Intelhttp://www.tolly.com/TS/2003/Intel%20Corp/CPU%20Testing%20Intel

%20Low%20Voltage%20Celeron%20processors/%20Low%20Voltage%20Celeron%20processors/TollyTS203124IntelCeleronSept2003.pdfTollyTS203124IntelCeleronSept2003.pdf

ЧастотаЧастота: 650 MHz, 400Mhz: 650 MHz, 400Mhz КорпусКорпус: Socket : Socket FCBGAFCBGA ЧипсетЧипсет: 815E/440MX: 815E/440MX CCсылочные дизайнысылочные дизайны: based on 815E chipset available: based on 815E chipset available ПоддержкаПоддержка: Datasheet, 815E design guide, thermal design guide: Datasheet, 815E design guide, thermal design guide

Лучшее соотношение цена/производительностьЛучшее соотношение цена/производительность

Page 12: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 12

Ссылочные дизайны для различных приложений:-http://developer.intel.com/design/shared/embedded/ref_design.htm?iid=devnav_btn1+hw_proc_emb_refdes&

Ссылочные дизайны для различных приложений:-http://developer.intel.com/design/shared/embedded/ref_design.htm?iid=devnav_btn1+hw_proc_emb_refdes&

Page 13: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 13

РасширеннаяРасширенная Intel® NetBurst™ Intel® NetBurst™ МикроархитектураМикроархитектура

Features are for planning purposes only, and subject to change without notice.Features are for planning purposes only, and subject to change without notice.

00.5

11.5

22.5

33.5

4

Frequency 1st LevelCache

2nd LevelCache

FSB

Pentium 4 Processor

Pentium 4 processor with 512 L2 Cache

Prescott

1.3

GH

z

8KB

256K

B

400M

Hz

2.0+

GH

z

3.0+

GH

z

8KB 16

KB

512K

B1M

B

400M

Hz

800M

Hz

Новые алгоритмы Новые алгоритмы работы кэшработы кэш

Новые алгоритмы Новые алгоритмы работы кэшработы кэш

Уменьшенное Уменьшенное время реакциивремя реакции

Уменьшенное Уменьшенное время реакциивремя реакции

Улучшенная Улучшенная ЭффективностьЭффективность

Улучшенная Улучшенная ЭффективностьЭффективность

Page 14: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 14

Резюме о IA-32 Платформах

– Pentium M, Xeon/ E7501– Платы приложений

– Сигнальные платы

– Контрольные платы

– ULV Celeron/ 815e– «Бюджетный» сегмент / Сегмент мало энерго рассеивающих

приложений

– Интерактивные приложения нижнего уровня

– Pentium M, Pentium 4/ 855GME, 852GME / ICH4– Интерактивные Приложения – POS, kiosk, ATM, thin clients,

gaming, etc

– Промышленные – Industrial PCs, Panel PCs, Industrial Control

– Цифровое видео для систем безопасности

Page 15: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 15

IOP Xscale Core ProcessorsIOP Xscale Core ProcessorsIOP 80200IOP 80200 XScale Core – Applications everywhere, Telematics, XScale Core – Applications everywhere, Telematics,

Industrial, etc….Industrial, etc….

IOP 321IOP 321- 400 / 600 / 733MHz 32bit RISC Processor with Integrated - 400 / 600 / 733MHz 32bit RISC Processor with Integrated DDR memory support, I DDR memory support, I 22C, Serial port, Application Accelerator, C, Serial port, Application Accelerator,

Peripheral Bus and PCI-X BusPeripheral Bus and PCI-X Bus

RAID ControllersRAID Controllers

IXC1100 XScale ProcessorsIXC1100 XScale Processors Control Backplane ProcessorsControl Backplane Processors

266 / 400 / 533MHz 32bit RISC Processor with Integrated 266 / 400 / 533MHz 32bit RISC Processor with Integrated SDRAM, PCI, Expansion Bus and Packet Processing EnginesSDRAM, PCI, Expansion Bus and Packet Processing Engines

IXP4XX Product Line IXP4XX Product Line 266 / 400 / 533MHz 32bit RISC Processor with Integrated SDRAM, 266 / 400 / 533MHz 32bit RISC Processor with Integrated SDRAM, PCI, Expansion Bus, Ethernet MACs, Crypto engine, High-Speed PCI, Expansion Bus, Ethernet MACs, Crypto engine, High-Speed

Serial ports and Packet Processing EnginesSerial ports and Packet Processing Engines

«Новые» Продукты для встроенных «Новые» Продукты для встроенных приложенийприложений

Mobile Phone / PDA’sMobile Phone / PDA’s

PXA255 Xscale ProcessorsPXA255 Xscale Processors133 / 200 / 300 / 400 MHz 32bit RISC processor with integrated 133 / 200 / 300 / 400 MHz 32bit RISC processor with integrated

colour LCD controller, serial interfaces, power management and colour LCD controller, serial interfaces, power management and a comprehensive memory controllera comprehensive memory controller

Page 16: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 16

Продукты на базе ядра Продукты на базе ядра Intel® Intel® XScale™ XScale™

Page 17: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 17

High PerformanceHigh Performance

Internet Internet Storage,Storage,

RAID/SANRAID/SAN

SmartSmartPhonesPhones

InternetInternetbackbone devicesbackbone devices

Routers,Routers,switches, etc.switches, etc.

DSLAMDSLAMLine CardsLine Cards

Voice AccessVoice AccessEquipEquip

PDAsPDAs

Intel® XScale™ Intel® XScale™ Архитектура и продукты на ее основеАрхитектура и продукты на ее основе

IntelIntel®®

XScale™ XScale™ MicroarchitectureMicroarchitecture

I/O Processors

803218031280303

….

ApplicationProcessors

PXA25xPXA26x

….

NetworkProcessors

IXP4xxIXP2800IXP2400IXP1200

IXP220/225…..

Low PowerLow Power

CoreProcessors

80200Baseband

Processors….

TelematicsProcessors

….

Control Plane Processors

IXC1100….

Page 18: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 18

ARMARM -- INTELINTELArchitecture

Core microArch.

Implementation

Manufacturing

Sales & Distribn.

System OEM

VLSI, GPS, Sharp, TI, AKM, Samsung, Cirrus, ES2, NEC

VLSI, GPS, Sharp, TI, AKM, Samsung, Cirrus, ES2, NEC

Apple, 3DO, IBM, Motorola, Sharp, Panasonic, LG, Om, etc.

ARMCores

SPs, ASSPs & CSSPs

SPs, ASSPs & CSSPs

SPs, ASSPs & CSSPs

SPs & ASSPs

License to Manufacture

VLSI, GPS, Sharp, TI, AKM, Samsung, Cirrus, ES2, NEC

Licenseto Use

ArchitectureLicense

StrongARM Sub-license

SPs & ASSPs

SPs & ASSPs

SPs & ASSPs

Xscale ™StrongARM Microarchitectures

Page 19: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 19

Версии Архитектуры Версии Архитектуры ARMARM

ARM Core ArchitectureARM1 v1ARM2 v2ARM2aS, ARM3 v2aARM6, ARM600, ARM610 v3ARM7, ARM700, ARM710 v3ARM7TDMI, ARM710T, ARM720T, ARM740T v4TStrongARM*, ARM8, ARM810 v4ARM9TDMI, ARM920T, ARM940T v4TARM9E-S, Intel® XScale™ core v5TEARM10TDMI, ARM1020E v5TE

Page 20: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 20

Intel® XScale™ Intel® XScale™ Структура ядраСтруктура ядра

CoprocessorInterface

Core MemoryBus

FIQIRQ

CP0CP0Multiplier/Multiplier/

AccumulatorAccumulator

CP0CP0Multiplier/Multiplier/

AccumulatorAccumulator

Branch Target BufferBranch Target BufferBranch Target BufferBranch Target Buffer

Data CacheData Cache32KBytes32KBytes

Data CacheData Cache32KBytes32KBytes

DebugDebugDebugDebug System System ManagementManagement

System System ManagementManagementJTAGJTAGJTAGJTAG

CP15CP15Config.Config.

RegistersRegisters

CP15CP15Config.Config.

RegistersRegisters

Mini D-CacheMini D-Cache2KBytes2KBytes

Mini D-CacheMini D-Cache2KBytes2KBytes

MMUMMUMMUMMU

InstructionInstructionCacheCache

32KBytes32KBytes

InstructionInstructionCacheCache

32KBytes32KBytesMMUMMUMMUMMU

ExecutionExecutionCoreCore

ExecutionExecutionCoreCore

InterruptRequest

DataAddress

Data

Instruction

WriteWriteBufferBuffer

WriteWriteBufferBuffer

CP14CP14Performance Performance

MonitoringMonitoring

CP14CP14Performance Performance

MonitoringMonitoringTraceTraceBufferBuffer

TraceTraceBufferBuffer

http://developer.intel.com/design/intelxscale/27347301.pdf

Page 21: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 21

Intel® XScale™ Intel® XScale™ - - Энергопотребление/ПроизводительностьЭнергопотребление/Производительность

0

200

400

600

800

1000

1200

MIP

S

0

0.2

0.4

0.6

0.8

1

Po

we

r Co

ns

um

ptio

n (W

atts

)

MIPS Watts

150 MHz150 MHz40 mW40 [email protected]@0.75V

400 MHz400 MHz180 mW180 [email protected]@1.0V

600 MHz600 MHz450 mW450 [email protected]@1.3V

800 MHz800 MHz900 mW900 [email protected] @1.65V

10 mW to 1,000 MIPS10 mW to 1,000 MIPS

50 MHz50 MHz10 mW10 [email protected]@0.75V

~ 1 Week on ~ 1 Week on 1 “AA” battery1 “AA” battery

<1 Watt Thermal<1 Watt ThermalDissipationDissipationIdeal for Internet End-EndIdeal for Internet End-End

Page 22: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 22

Intel IXP4xxIntel IXP4xx

Page 23: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 23

Линейка Процессоров Линейка Процессоров Intel® IXP4XXIntel® IXP4XX

266, 400, 533 MHz Intel® XScale™ core2- 10/100 Ethernet MACs, Utopia22- High Speed UARTs, Exp Bus, PCIHardware for ATM SAR, USB 1.1, HDLC Crypto Processor for IPsec2+? channels of voice support

266 MHz Intel® XScale™ core2- 10/100 Ethernet MACs 2- UARTs, 16 bit Expansion bus, PCIUSB 1.1

266 MHz Intel® XScale™ core1- 10/100 Ethernet MAC, Utopia 2, 2 HSS2-UARTs; 16 bit Expansion bus, PCIHardware for ATM SAR, USB 1.1 2+ channels of voice support

266 MHz Intel® XScale™ core2 - 10/100 Ethernet MACs 2-UARTs; 16 bit Expansion bus, PCIUSB 1.1, Crypto Processor for IPsec

Характеристики и производительность

Цен

а

• Идентичные корпуса и распиновка• Общая архитектура• Общий набор инструментариев и программ

Intel® IXP420

Intel® IXP421

Intel® IXP422

Intel® IXP425

Page 24: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 24

Intel® IXP4Intel® IXP4хх - хх - Линейка ПродуктовЛинейка Продуктов

266 MHz all SKUs266 MHz all SKUs 400,533 MHz IXP425 only400,533 MHz IXP425 only 32 bit SDRAM 133 with 8 32 bit SDRAM 133 with 8

MByte to 256 MByte MByte to 256 MByte addressabilityaddressability

ХАРАКТЕРИСТИКИХАРАКТЕРИСТИКИ

Основные ПримененияОсновные Применения• Шлюзы передачи голоса по ИнтернетуШлюзы передачи голоса по Интернету• Устройство интранетовского шифрованияУстройство интранетовского шифрования• Шлюз Интернет – шина контроля Шлюз Интернет – шина контроля

промышленного оборудованияпромышленного оборудования• Small office gateway (extern. xDSL/ISDN Small office gateway (extern. xDSL/ISDN

backup – intern. LAN, POTs, ISDN phones)backup – intern. LAN, POTs, ISDN phones)• Системы передачи данных и голоса для Системы передачи данных и голоса для

удаленных мест жительстваудаленных мест жительства• Шлюз беспроводной сети Шлюз беспроводной сети (WiFi)(WiFi)• Мультиплексоры Мультиплексоры DSL (DSL ( 8-24 8-24 линий линий))• Отдельно стоящее промышленное Отдельно стоящее промышленное

оборудование с контролем/диагностикой оборудование с контролем/диагностикой по интернетпо интернет

• АТС – шлюз передачи данныхАТС – шлюз передачи данных

Intel® XScale™ Intel® XScale™ MicroarchitectureMicroarchitecture

СЕТЕВЫЕ СОПРОЦЕССОРЫСЕТЕВЫЕ СОПРОЦЕССОРЫ

I/O and Other I/O and Other FeaturesFeatures

Общее описаниеОбщее описание

IXP420, IXP421, IXP422, IXP425 Network Processors

Cost Effective High Performance Entry-Level Network Processor Product Line• PCI 32/66PCI 32/66

• 24bit 66MHz Expansion 24bit 66MHz Expansion BusBus

• USB 1.1 Device supportUSB 1.1 Device support

• 2x High Speed UARTs2x High Speed UARTs

Process, Packaging, ThermalsProcess, Packaging, Thermals

• Intel 180nm process• Extended Temperature available on IXP425 only• 492 PBGA (CT) and 492 PBGA-DHS (ET); 35 mm with

1.27 mm ball pitch• 1.0 – 1.5 W typical @ 266MHz

IXPIXP 420420 421421 422422 425425

NPEsNPEs 22 22 22 33

10/100 MAC10/100 MAC 22 11 22 22

HSSHSS 22 22

Voice Ch.Voice Ch. 2-42-4 2-42-4

Utopia 2Utopia 2 YY YY

DES/3DES/AES/SHA1DES/3DES/AES/SHA1 YY YY

Page 25: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 25

Intel® IXP4Intel® IXP425 – Структура Процессора25 – Структура Процессора

Page 26: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 26

Процессоры Процессоры Intel Intel в Сетевой в Сетевой ИнфраструктуреИнфраструктуре

Multi-Dwelling Unit

Wireless Hot Spot

Large EnterpriseSOHO

Small/Medium Enterprise

Wireless WAN

IXP4XX

IXP2400

IXP28XX

Metro/Core

Edge Devices

Residential GatewayMedia Gateway

SOHO-VPN

802.11 Gateway

Page 27: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 27

Пример КонфигурацииADSL Шлюз

Intel® IXP425Network

Processor

USBConnector

4-Port10/100

EthernetSwitch

128-MbitSDRAM

USB

64-Mbit Flash

802.11a or bMini-PCI card

On/off Switch

Power Input

Reset Switch

MII 0

USB

Mini-PCI

SDRAM

EXPB

128-MbitSDRAM

64-Mbit Flash

PCI

LAN: Ethernet

SLICCODECs

HSS-V2-PortVoice

ADSL PHYAnnex A/B/C

ADSL Annex A,B,CUTOPIA 2

ADSL RG Configuration

Page 28: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 28

Intel® IXP425 – Intel® IXP425 – РеализацияРеализацияПример Интеграции Голоса и ДанныхПример Интеграции Голоса и Данных

Page 29: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 29

Пример Конфигурации Маршрутизатор Малый Офис/Дом. Офис

Intel®IXP425Network

Processor

USBConnector

4-Port10/100

EthernetSwitch

128-MbitSDRAM

USB

64-Mbit Flash

802.11a or bMini-PCI card

On/off Switch

Power Input

Reset Switch

MII 0

USB

Mini-PCI

SDRAM

EXPB

128-MbitSDRAM

64-Mbit Flash

PCI

LAN: Ethernet

EthernetPHY WAN: Ethernet

MII 1

SLICCODECs

HSS-V2-PortVoice

SOHO Router Configuration

Page 30: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 30

Intel® IXP425 Intel® IXP425 - Реализация - РеализацияАбонентский Беспроводной МаршрутизаторАбонентский Беспроводной Маршрутизатор

Rockaway Beach

WAN 10/100ETHERNETConnector

4 Port 10/100ETHERNET

Switch

USB 1.1

(2) Mini PCI Slots, supports dual

channel WiFi 11a & 11b/g

Intel® IXP42x Network Processor

Intel® StrataFlash™ Technology

LED displays for Ethernet & wireless

Wireless Mini PCI Card, 802.11 a & b/g

Page 31: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 31

Применение Применение IXP42x IXP42x в Промышленной в Промышленной АвтоматизацииАвтоматизации

IXP42IXP42х связывает шины с х связывает шины с ЕЕthernet thernet более высокая более высокая скорость передачи скорость передачи данныхданных..

IXP42X IXP42X организует организует закрытую шину закрытую шину VPN VPN для для изоляции оборудования изоляции оборудования от корпоративной сетиот корпоративной сети

IXP42X IXP42X управляет управляет оборудованиемоборудованием

0

1000

2000

3000

4000

5000

6000

7000

2002 2003 2004 2005 2006 2007

CAGR 84.1%

Source: Managing Automation, April 2003

Th

ou

sa

nd

s o

f N

od

es

Промышленная Автоматизация

IXP42x

Page 32: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 32

Intel® Intel® IXP425 IXP425 Программные КомпонентыПрограммные Компоненты

Board HardwareBoard Hardware

IntelIntel® ® IXP425 Network ProcessorIXP425 Network Processor

IntelIntel®® XScale XScale™™ Core Core

BoardBoardSupportSupportPackagePackage

ixAccessixAccess

ixNpeDl ixFPathAccixEthAccixHssAccixAtmdAcc

Customer ApplicationCustomer Application

CodeletsCodelets

USBEthAal5

FastPathEthHSSATM

Uart

IntelIntel®® IPPsIPPs

ixOsServicesixOsServices

NPE ANPE A NPE BNPE B NPE CNPE CATM HSS Eth DES Eth DES

SHA-1/MD5

TCP/IPTCP/IPNetwork Network

StackStack

Operating SystemOperating System

OSSLOSSL

PCIPCI USBUSBUartUartGPIOGPIO

ixPci ixUsbixUartixGPIO

ixI2C

http://www.intel.com/design/network/products/npfamily/ixp425swr1.htm

Page 33: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 33

PC

Intel® IXDP425 Intel® IXDP425 Отладочная Плата Отладочная Плата Intel KXDP425Intel KXDP425

Intel® IXP425 Network

Processor

JTAG connector

MII 1connect

4 channelPOTScard

BootROM16MB

Intel® StrataFlash

DC-DCPower

Regulator

PC

Smartbits* Tester

Adtec* AX4000*

Fireberd 6000*

Analog Phones

Cable Modem

USBConnector

LXT97210/100 Mbps

Enet PHYcard

MII 0connect

Utopia 2And

HSS WAN connect

T1/E1 Framer card

xDSL PHY card

Ethernet

DSLAM

HSSVoiceandHPI

connect Voice DSP card

4 SDRAM 256 MB

ADTECH*adapter

card

LXT97210/100 Mbps

Enet PHYcard

OR

ORPC

UART 0

UART 1 WinCE* Monitor

Bluetooth

OR

Intel® IXDP425 Network ProcessorDevelopment Platform

PCI Bus Slots

* Other names and brands may be claimed as the property of others

Page 34: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 34

ARM*: www.arm.com– JTAG Product: ARM Multi-ICE* 2.0 – Debuggers supported: ARM* ADS*, ARM SDT*,

RDI 1.5.1 compliant third party debuggers

Embedded Performance, Inc.*: www.epitools.com– JTAG Products: Majic*, Majic-MX*, Majic-PLUS* – Debuggers supported: EDB*, ARM* ADS*, ARM SDT*,

MetaWare* CCE-MWA*, RDI 1.5.1 compliant third party debuggers

Macraigor*: www.macraigor.com– JTAG Products: Wiggler*, Raven*, mpDemon* – Debuggers supported: Microsoft*, GNU*, OCDCommander*

Sophia*: www.sophia.com– JTAG Products: UniSTAC* ICE, UniSTAC II ICE– Debuggers supported: Sophia Watchpoint*

Отладчики для Отладчики для Intel® XScaleIntel® XScale

Page 35: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 35

IXP2400 / IXP2800IXP2400 / IXP2800

Page 36: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 36

MEv26

MEv27

MEv25

MEv28

Intel ®® ®

XScale™™ Core32K IC32K DC

Rbuf64 @ 128B

Tbuf64 @ 128B

Hash64/48/128

Scratch16KB

QDRSRAM

1

QDRSRAM

2

DDRAM

GASKET

PCI

(64b)66 MHz

32b32b

32b32b

1818 18181818 1818

7272

64b64b

SPI3orCSIX

Or UTOPIA

1/2/3

Stripe/byte align

E/D Q E/D Q

MEv22

MEv23

MEv21

MEv24

CSRs -Fast_wr -UART-Timers -GPIO-BootROM/Slow Port

Intel® IXP2400 Intel® IXP2400 - Архитектура - Архитектура

Page 37: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 37

Intel® Intel® IXP2400 IXP2400 – Основные Особенности– Основные ОсобенностиDescription IXP2400

FrequencyFrequency 600 MHz600 MHz 400 MHz400 MHz

MicroenginesMicroengines 88 88

Media bandwidth (full duplex)Media bandwidth (full duplex) 2.5 - 4 Gbs2.5 - 4 Gbs 2.5 – 3.2 Gbs2.5 – 3.2 Gbs

Memory bandwidth (DRAM)Memory bandwidth (DRAM) 19.2 Gbs19.2 Gbs 12.8 Gbs12.8 Gbs

Memory speeds (SRAM)Memory speeds (SRAM) 200/400, 133/266, 100/200200/400, 133/266, 100/200 133/266, 100/200133/266, 100/200

Memory speeds (DRAM)Memory speeds (DRAM) 150/300, 100/200150/300, 100/200 100/200100/200

QDR channels (18b+18b)QDR channels (18b+18b) 22 22

Packet memoryPacket memory (1) DDR(1) DDR (1) DDR(1) DDR

Power (typical)Power (typical) 11W [B0]11W [B0] 8.5W [B0]8.5W [B0]

PackagePackage 1356 BGA1356 BGA 1356 BGA1356 BGA

AvailabilityAvailability NOWNOW NOWNOW

L4 processing performanceL4 processing performance 7 million packet/s7 million packet/s 3.5 million packet/s3.5 million packet/s

Enqueue/Dequeue OpsEnqueue/Dequeue Ops 14 million op/s14 million op/s 7 million op/s7 million op/s

Широкополосный ДоступCMTS, DSLAM, FTTx, NG-DLC

Беспроводная ИнфраструктураBSC/RNC, xGSN, PDSN, MSC

Multi-Service SwitchMulti-Service Switch, edge router

Layer 4-7 applicationsFirewall, IDS, load balancing

ПрименениеПрименение

Page 38: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 38

Intel PXA25xIntel PXA25x

Page 39: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 39

Intel® Intel® PXA25x + FlashPXA25x + Flash

Специальный коммуникатор для Специальный коммуникатор для Служб БезопасностиСлужб Безопасности

Переносной ПК для служб Переносной ПК для служб логистики и доставкилогистики и доставки www.gotive.comwww.gotive.com http://www.timbatec.comhttp://www.timbatec.com//

Навигационный терминал для Навигационный терминал для СупермаркетовСупермаркетов

Транспортная информационная Транспортная информационная система для система для (GPS, GSM, GPRS)(GPS, GSM, GPRS)

Контроль доступа Контроль доступа http://www.timbatec.com/http://www.timbatec.com/

Распознавание отпечатка Распознавание отпечатка пальца….. пальца….. http://www.guardware.com/http://www.guardware.com/

Компьютерная плата для Компьютерная плата для встроенных приложений с встроенных приложений с визуализацией процессов визуализацией процессов www.keith-koep.comwww.keith-koep.com

Медицинское оборудование, Медицинское оборудование, контрольно-измерительное контрольно-измерительное оборудованиеоборудование

Интернетовская телефонияИнтернетовская телефония

http://developer.intel.com/design/pca/prodbref/298620.htm

www.symbol.com

Page 40: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 40

FlashFlash

Page 41: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 41

Intel® Flash Intel® Flash для Встраиваемых для Встраиваемых ПриложенийПриложений

.25µm .18µm .13µm

ExplorationDevelopment (POR 90% confidence)Current

Color:

Shape:

90NM

Current Q4’03 1H’04

Mai

nst

ream

1H ‘05

Sampling Date Production

32Mb16Mb

C364Mb

Bas

ic

Intel StrataFlash® Synchronous Memory (MLC)

Intel StrataFlash® Memory (MLC)

Intel® Advanced+ Boot Block Memory

32Mb

K3/K18256Mb128Mb64Mb

2H’04

K3/ K18

J3

C3

32Mb

J3128Mb64Mb J3 on 90 NM

64Mb-256Mb

Coming Soon!

Path ends 2007

Path ends 2007

512Mb

256Mb

* Product details and dates are subject to change

Page 42: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 42

IntelIntel®® Flash Flash / Корпуса/ Корпуса

Cellular focus Cellular focus • Smallest form factor• State-of-the-art PCB

technology• Short product cycles

Applied ComputingApplied Computing• Standard form factor• Commodity PCB

technology• Long product cycles

56-Ld TSOP

1 X

(20 x 14 mm)

0.5mm

Easy BGA

0.46 X

(13 x 10 mm)

1.0mm

Stacked CSP

Size varies by product

16-64Mb Flash/ 2-8Mb SRAM

0.8mm

BGA* CSP

Size varies by product

0.75mm

Page 43: Page 1 32 Разрядные Процессоры Intel® для Телекоммуникационной Промышленности и Встраиваемых Приложений

Page 43

РезюмеРезюме IA-32IA-32

– Встраиваемые Приложения с Встраиваемые Приложения с графическим Интерфейсомграфическим Интерфейсом

– Интерактивные приложения.Интерактивные приложения.– ТелекомТелеком

IXP42xIXP42x, , IXP2x00IXP2x00– Телеком, Телеком, – Промышленная автоматизация Промышленная автоматизация

PXA255PXA255– Автоматизация/Визуализация, Автоматизация/Визуализация, – Переносные приборы, Переносные приборы, – Встраиваемые приложенияВстраиваемые приложения

FLASHFLASH– Везде Везде

Дистрибуторы

Avnet (Silica)

Arrow (Spoerle)

Memec (Prosoft)

Квазар Микро