206
UltraScale+ Devices Integrated 100G Ethernet Subsystem v1.0 製品ガ イ ド Vivado Design Suite PG203 2016 4 6 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新 情報につきましては、必ず最新英語版をご参照ください。

UltraScale+ Devices Integrated 100G Ethernet Subsystem …...UltraScale+ Devices Integrated 100G Ethernet Subsystem v1.0 製品ガイド Vivado Design Suite PG203 2016 年 4 月 6

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

  • UltraScale+ Devices Integrated 100G Ethernet Subsystem v1.0

    製品ガイド

    Vivado Design Suite

    PG203 2016 年 4 月 6 日

    この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

  • Integrated 100G Ethernet 2PG203 2016 年 4 月 6 日 japan.xilinx.com

    目次

    第 1章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

    第 2章: 製品仕様一般的な動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10統計情報の収集 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10テス ト容易化機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10Pause 動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11性能と リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12属性の説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

    第 3章: コアを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49PCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49イーサネッ ト MAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531588v2 タイムスタンプ機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71ト ランシーバーの選択に関する規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

    第 4章: デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

    第 5章: サンプル デザイン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99ユーザー インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101コア XCI の最上位ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103動作モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141ト ランザクシ ョ ン フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145コアの DRP動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151AXI4-Lite インターフェイスのインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151[RS-FEC Transcode Bypass] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175各種動作モードの使用ケース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176サンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=2

  • Integrated 100G Ethernet 3PG203 2016 年 4 月 6 日 japan.xilinx.com

    サンプル デザインの合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184

    付録 A: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186シ ミ ュレーシ ョ ン デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189プロ ト コル デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

    付録 B: UltraScale デバイスの OTN インターフェイス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192インプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

    付録 C: UltraScale+ デバイスの Integrated 100G Ethernet コア用 RS‐FEC 機能動作モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197ク ロ ッ ク と リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199RS-FEC サブモード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199RS-FEC エンジンの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

    付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=3

  • Integrated 100G Ethernet 4PG203 2016 年 4 月 6 日 japan.xilinx.com Production 製品仕様

    概要

    ザイ リ ンクス UltraScale+™ Devices Integrated 100G Ethernet IP Subsystem は、 高性能/低レイテンシの 100Gb/s Ethernet ポート を提供し、 ユーザーによるカスタマイズおよび統計情報の収集を幅広くサポート します。 この専用ブロ ッ クは 100G Ethernet MAC および RS-FEC ロジッ クの両方を備え、 IEEE 1588-2008 [参照 1] のハードウェア タイムスタンプ機能をサポート しています。

    100G Ethernet IP コアは、 CAUI-10 (10x10.3125G)、 CAUI-4 (4x25.78125G)、 ランタイム切り替え可能 CAUI-4/CAUI-10 の 3 つの動作モードに設定できます。 100G Ethernet コアは、IEEE std 802.3-2012 [参照 2] に準拠して設計されています。

    機能• CAUI-10、 CAUI-4、 ランタイム切り替え可能 CAUI-4/

    CAUI-10 の 3 つのモードをサポート

    • 約 322MHz の 512 ビッ ト セグメン ト ローカル バス (LBUS) ユーザー インターフェイス

    • CAUI-10 レーンは 32 ビッ ト 、 CAUI-4 レーンは 80 ビッ ト のインターフェイスでシ リ アル ト ランシーバーに接続

    • IEEE 1588-2008 [参照 1] 1 ステップおよび 2 ステップのハード ウェア タイムスタンプ機能をサポート (送受信共に完全な 80 ビッ ト )

    • IEEE Std 802.3-2012 Annex 31 [参照 2] に準拠した優先度ベースのフロー制御を含む Pause フレーム処理

    • IEEE 動的および静的スキュー調整をサポート

    • オプシ ョ ンのビルト イン 802.3bj-2014 Clause 91 準拠 RS-FEC ブロ ッ ク (CAUI-4 モード )

    • ユーザー側 OTN インターフェイス

    その他の機能の一覧は、 第 1 章の 「機能概要」 を参照して ください。

    IP の概要

    この LogiCORE IP について

    コアの概要

    サポート される

    デバイス ファ ミ リ (1)UltraScale+

    サポート される

    ユーザー インターフェイス

    セグメン ト LBUS

    リ ソースPerformance and Resource Utilization

    (ウェブ ページ)

    コアに含まれるもの

    デザイン ファイル Verilog

    サンプル デザイン Verilog

    テス トベンチ Verilog

    制約ファイルザイ リ ンクス デザイン制約ファ イル

    (.xdc)

    シ ミ ュレーシ ョ ン モデル

    Verilog

    サポート される ソフ ト ウェア ド ラ イバー

    なし

    テスト済みデザイン  フロー (2)

    デザイン入力 Vivado® Design Suite

    シ ミ ュレーシ ョ ン

    サポート されるシ ミ ュレータについては、

    『Vivado Design Suite ユーザー ガイ ド :リ リース ノート ガイ ド、 インス トール

    およびライセンス』 を参照。

    合成 Vivado 合成

    サポート

    japan.xilinx.com/support で提供

    注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

    照して ください。

    2. サポート されているツールのバージ ョ ンは、『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、インス トールおよびライセンス』 を参照してください。

    http://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=cmac-usplus.htmlhttp://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=cmac-usplus.htmlhttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.1;t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.1;t=vivado+release+noteshttp://japan.xilinx.com/supporthttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.1;t=vivado+release+noteshttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.1;t=vivado+release+noteshttp://japan.xilinx.comhttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=4

  • Integrated 100G Ethernet 5PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 1章

    概要この製品ガイ ドでは、 ザイ リ ンクス UltraScale+™ Devices Integrated 100G Ethernet Subsystem コアの機能と動作、 および設計、 カスタマイズ、 インプ リ メンテーシ ョ ン方法について説明します。

    このコアは IEEE std 802.3-2012 [参照 2] 仕様に準拠して設計されており、 IEEE 1588-2008 [参照 1] のハードウェア タイムスタンプ機能もオプシ ョ ンでサポート しています。 このコアは、 100G Ethernet 向けの UltraScale+ デバイス統合ブロ ッ クをインスタンシエート します。 このコアを利用するこ とで、 設計プロセスが簡略化され、 製品の市場化が加速します。

    コアは検証済みの完全ソ リ ューシ ョ ンですが、 完全デザインのインプリ メンテーシ ョ ンは、 アプリ ケーシ ョ ンのコンフ ィギュレーシ ョ ンや機能によって異なり ます。 コアの詳細は、 第 2 章 「製品仕様」 を参照して ください。

    推奨 : 最良の結果を得るには、 ザイ リ ンクス インプリ メンテーシ ョ ン ツールおよび制約ファイルを使用して、 パイプライン化された高性能な FPGA デザインを構築した経験があるこ とが望まれます。

    重要 : CAUI-4 およびランタイム切り替え可能 CAUI-10/CAUI-4) モードで利用するには、Virtex® UltraScale+ デバイスでのみ利用可能な GTY ト ランシーバーが必要です。

    機能概要

    機能概要は次のとおりです。

    • IEEE 1588-2008 [参照 1] に準拠した 1 ステップおよび 2 ステップ ハードウェア タイムスタンプ機能。 透過クロ ッ ク もサポート

    • 100G Ethernet コア用に 20 本の PCS レーン (PCSL)

    • UltraScale+ デバイス用に GTY または GTH ト ランシーバーを使用

    • PCS レーン マーカーのフレーム化とフレーム除去 (各 PCS レーンの順序変更を含む)

    • リ ンク ステータス とアライ メン トの監視および報告

    • IEEE std 802.3-2012 Clause 82 [参照 2] で定義された 64B/66B エンコード /デコード

    • x58 + x39 + 1 多項式を用いたスク ランブル/デスク ランブル

    • IEEE std 802.3-2012 Clause 82 [参照 2] で必須と されているパケッ ト間ギャ ップ (IPG) の挿入と削除

    • 送信方向ではフレーム チェッ ク シーケンス (FCS) の計算と追加をオプシ ョ ンでサポート

    • 受信方向では FCS のチェッ ク とオプシ ョ ンで FCS の除去をサポート

    • 802.3x および優先度ベースの Pause 動作をサポート

    • コアのダイナミ ッ ク リ コンフ ィギュレーシ ョ ン用 DRP インターフェイス

    • 詳細な統計情報の収集

    ° 総バイ ト数

    ° 総パケッ ト数

    http://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=5

  • Integrated 100G Ethernet 6PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 1 章 : 概要

    ° 正常なバイ ト数

    ° 正常なパケッ ト数

    ° ユニキャス ト パケッ ト数

    ° マルチキャス ト パケッ ト数

    ° ブロードキャス ト パケッ ト数

    ° Pause パケッ ト数

    ° VLAN (バーチャル LAN) タグ付きパケッ ト数

    ° 64B/66B 符号違反数

    ° 不正なプリ アンブル数

    ° 不正な FCS 数

    ° 次のパケッ ト サイズごとのパケッ ト ヒ ス ト グラム- 64

    - 65 ~ 127

    - 128 ~ 255

    - 256 ~ 511

    - 512 ~ 1023

    - 1024 ~ 1518

    - 1519 ~ 1522

    - 1523 ~ 1548

    - 1549 ~ 2047

    - 2048 ~ 4095

    - 4096 ~ 8191

    - 8192 ~ 9215

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=6

  • Integrated 100G Ethernet 7PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 1 章 : 概要

    ライセンスおよび注文情報

    このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado Design Suite を使用して追加コス ト なしで提供されています。

    この IP およびその他のザイ リ ンクス LogiCORE™ IP モジュールに関する情報は、 IP コアのページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格および提供状況については、 お近くのザイリ ンクス販売代理店にお問い合わせください。

    詳細およびライセンス キー (無償) の生成については、 UltraScale+ Integrated 100G Ethernet Subsystem 製品ページを参照してください。

    IEEE 802.3 RS-FEC のハードウェア評価ライセンスの生成および注文については、IEEE 802.3bj Reed-Solomon Forward Error Correction のページを参照して ください。

    http://japan.xilinx.com/cgi-bin/docs/rdoc?d=end-user-license-agreement.txthttp://japan.xilinx.com/products/intellectual-property.htmlhttp://japan.xilinx.com/about/contact.htmlhttp://japan.xilinx.com/about/contact.htmlhttp://japan.xilinx.com/products/intellectual-property/cmac_usplus.htmlhttp://japan.xilinx.com/products/intellectual-property/ef-di-100g-rs-fec.htmlhttp://japan.xilinx.com/products/intellectual-property/ef-di-100g-rs-fec.htmlhttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=7

  • Integrated 100G Ethernet 8PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2章

    製品仕様表 2-1 に、 100Gb/s Ethernet ソ リ ューシ ョ ン用の CMAC 統合ブロッ クの定義を示します。

    コアは、 必要な GTH または GTY ト ランシーバーと共に CMAC ブロ ッ クをインスタンシエート します。 また、 これらの 2 つのブロ ッ クの接続例、 およびリセッ ト と ク ロ ッキングを提供します。

    この統合ブロ ッ クは、 IEEE std 802.3-2012 [参照 2] に準拠して設計されています。

    図 2-1 に示すよ うに、 CMAC 統合ブロッ クへのインターフェイスには次のものがあ り ます。

    • シ リアル ト ランシーバー インターフェイス

    • ユーザー側の送信/受信 LBUS インターフェイス

    • Pause 処理

    • IEEE 1588-2008 [参照 1] タイムスタンプ インターフェイス

    • ステータス /制御インターフェイス

    • コンフ ィギュレーシ ョ ン用 DRP インターフェイス

    表 2‐1 : 100Gb/s Ethernet ソリューシ ョ ン用の CMAC 統合ブロック

    プロ ト コル レーン幅 ライン  レート   SerDes SerDes 幅

    CAUI-10 x10 10.3125Gb/sGTHGTY

    32b

    CAUI-4 x4 25.78125Gb/s(2) GTY(1) 80b

    ランタイム切り替 え 可 能C A U I - 4 /CAUI-10

    CAUI-10 : x10CAUI-4 : x4

    CAUI-10 : 10.3125Gb/sCAUI-4 : 25.78125Gb/s

    GTY(1)CAUI-10 : 32bCAUI-4 : 80b

    注記 :

    1. CAUI-4 およびランタイム切り替え可能 CAUI-10/CAUI-4 モードで利用するには、 Virtex® UltraScale+™ デバイスでのみ利用可能な GTY ト ランシーバーが必要です。

    2. ライン レート 25.78125Gb/s は、 標準スピード グレードの Virtex UltraScale+ デバイスで有効です。

    http://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&cad=rja&sqi=2&ved=0CCoQFjAA&url=http%3A%2F%2Fstandards.ieee.org%2Ffindstds%2Fstandard%2F802.3ba-2010.html&ei=bnOqUee4LYeligLMu4D4CA&usg=AFQjCNEFVzvW0VbdzhnNfQG4NY0eGOxk0whttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=8

  • Integrated 100G Ethernet 9PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    X-Ref Target - Figure 2-1

    図 2‐1 : 100Gb/s Ethernet 用の CMAC 統合ブロック  

    X13349

    CMAC

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=9

  • Integrated 100G Ethernet 10PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    一般的な動作100G Ethernet IP コアは、 ほかのデバイスの PCS およびイーサネッ ト MAC インターフェイス と通信するために必要なプロ ト コル関連機能をすべて担います。 たとえば、 ハンドシェイ ク、 同期、 エラー チェッ クです。 パケッ ト データは、 ローカル バス (LBUS) TX インターフェイスから送信し、LBUS RX インターフェイスで受信します。LBUS は、SPI4.2 および Interlaken プロ ト コルで一般的に使用されているパケッ ト バス プロ ト コルに合わせて設計されています。 詳細は、 第 3 章の 「ユーザー側 LBUS インターフェイス」 で説明します。

    このコアは柔軟性に優れているため、 さまざまなアプリ ケーシ ョ ンで使用できます。 RX パスは、 一部の動作の実行に必要なパイプライン処理を除き、 一切バッファ リ ングを行いません。 受信したデータは、 カッ ト スルー方式で直接ユーザー インターフェイスへ渡されるため、必要に応じてユーザーが自由にバッファー機能をインプ リ メン トできます。 また、 このコアの TX パスはバッファ リ ングを最小限に抑えた 1 つのパイプラインで構成されており、 信頼性の高いカッ ト スルー動作を実現しています。

    統計情報の収集100G Ethernet IP コアは、統計情報の収集に柔軟で使いやすいメカニズムを提供します。サポート されるすべての統計情報について、このコアには特定のクロ ッ ク サイクルにおける統計情報のインク リ メン ト値を示す出力信号または必要に応じてバスが用意されています。このインク リ メン ト値を使用して、必要なカウンター メカニズムを構築できます。 このメカニズムではシステムに必要な統計情報のみを選択できるため、すべての統計情報のカウンターをインプリ メン トする場合と比べてコス トの無駄を抑えるこ とができます。 また、 よ り重要な点と して、 システムで必要なカウンターや統計情報収集メカニズムをユーザーが自由にインプ リ メ ン トできる という点が挙げられます。 たとえば、必要に応じて 32 ビッ ト または 64 ビッ ト カウンターを作成できるほか、読み出すと ク リ アするカウンターや、飽和カウンターもインプ リ メン トできます。

    TX 統計情報に関しては、 FCS またはその他のエラーを含まないパケッ ト を正常なパケッ ト、 これらのエラーを含むパケッ ト を不正なパケッ ト と定義します。

    RX 統計情報に関しては、 FCS またはその他のエラー (Length フ ィールドのエラーなど) を含まないパケッ ト を正常なパケッ ト、 これらのエラーを含むパケッ ト を不正なパケッ ト と定義します。 Length フ ィールドのエラーには、 Legthフ ィールドのエラーのほか、 オーバーサイズおよびアンダーサイズ パケッ ト も含まれます。

    テスト容易化機能100G Ethernet のサンプル デザインは、 Clause 82.2.10 (テス ト パターン ジェネレーター ) と Clause 82.2.17 (テス ト パターン チェッカー ) で定義されたテス ト パターン生成およびチェッ ク機能をインプ リ メ ン ト します。 詳細は、 IEEE802.3 仕様を参照してください。

    Pause 動作100G Ethernet IP は、 802.3x および優先度ベースの Pause 動作に対応します。 RX パスは Pause パケッ ト を解析し、 抽出したク ォンタムをステータス インターフェイスに出力します。 TX パスは制御インターフェイスからの Pause パケッ ト要求を受け取り、要求されたパケッ ト をデータ ス ト リームに挿入します。グローバル Pause パケッ ト と優先度ベースの Pause パケッ トの両方を処理できます。 詳細は、 第 3 章の 「Pause 処理インターフェイス」 で説明します。

    注記 : この資料では 「802.3x」 と 「グローバル Pause」 を同義の用語と して使用します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=10

  • Integrated 100G Ethernet 11PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    規格100G Ethernet IP は、 IEEE std 802.3-2012 [参照 2] 仕様に準拠して設計されています。 タ イムス タンプ機能は IEEE1588-2008 [参照 1] に準拠して設計されています。

    性能と リソース使用状況リ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

    http://standards.ieee.org/findstds/standard/802.3-2012.htmlhttp://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&cad=rja&sqi=2&ved=0CCoQFjAA&url=http%3A%2F%2Fstandards.ieee.org%2Ffindstds%2Fstandard%2F802.3ba-2010.html&ei=bnOqUee4LYeligLMu4D4CA&usg=AFQjCNEFVzvW0VbdzhnNfQG4NY0eGOxk0whttp://standards.ieee.org/findstds/standard/1588-2008.htmlhttp://japan.xilinx.com/cgi-bin/docs/ndoc?t=ip+ru;d=cmac-usplus.htmlhttp://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=11

  • 第 2 章 : 製品仕様

    Integrated 100G Ethernet 12PG203 2016 年 4 月 6 日 japan.xilinx.com

    ポートの説明表 2-2 に、 100G Ethernet IP コアの各ポートの詳細な説明を示します。

    重要 : CAUI-4 およびランタイム切り替え可能 CAUI-10/CAUI-4 モードで利用するには、 Virtex UltraScale+ デバイスでのみ利用可能な GTY ト ランシーバーが必要です。

    表 2‐2 : ト ランシーバー I/O

    ポート名 方向 ド メイン 説明

    ctl_tx_ipg_value[3:0] 入力

    この信号はオプシ ョ ンで使用できます。 ctl_tx_ipg_value は、 LBUS パケッ ト間に挿入される平均的な最小のパケッ ト間ギャ ップ (IPG、 バイ ト ) を指定します。 有効な値は 8 ~ 12 です。 0 ~ 7 の範囲にプログラムする こ と も可能ですが、 この場合 「最小 IPG」 と見なされ、 Terminate コードワード IPG のみ挿入されます。 つま り、 アイ ドルが追加されるこ とはなく、 ランダムサイズのパケッ トが送信される と きに約 4 バイ トの IPG を生成します。

    RX_SERDES_ALT_DATA0[15:0] 入力 RX_SERDES_CLK[0]

    SerDes0 からの受信データ バスの 16 ビッ ト グループ。 RX_SERDES_DATA バスは各 SerDes レーンに 1 つずつあり ます (合計 10)。各バスのビッ ト幅は、CAUI-4 モードで 80 ビッ ト 、CAUI-10 モードで 32 ビッ トです。 最初の 4 つの SerDes レーンは 80 ビッ ト または 32 ビッ トのどちらでも動作できますが、 残りの 6 つのレーンは 32 ビッ トで動作します。 最初の 4 つのレーンの下位 32 ビットは CAUI-10 モードで使用します。16 ビッ ト グループと 64 ビッ ト グループで構成される 80 ビットのマップはやや複雑です。 詳細は、 第 3 章の 「PCS レーンの多重化」 を参照して ください。

    RX_SERDES_ALT_DATA1[15:0] 入力 RX_SERDES_CLK[1] SerDes1 からの受信データ バスの 16 ビッ ト グループ。

    RX_SERDES_ALT_DATA2[15:0] 入力 RX_SERDES_CLK[2] SerDes2 からの受信データ バスの 16 ビッ ト グループ。

    RX_SERDES_ALT_DATA3[15:0] 入力 RX_SERDES_CLK[3] SerDes3 からの受信データ バスの 16 ビッ ト グループ。

    RX_SERDES_DATA0[63:0] 入力 RX_SERDES_CLK[0] SerDes0 からの受信データ バスの 64 ビッ ト グループ。

    RX_SERDES_DATA1[63:0] 入力 RX_SERDES_CLK[1] SerDes1 からの受信データ バスの 64 ビッ ト グループ。

    RX_SERDES_DATA2[63:0] 入力 RX_SERDES_CLK[2] SerDes2 からの受信データ バスの 64 ビッ ト グループ。

    RX_SERDES_DATA3[63:0] 入力 RX_SERDES_CLK[3] SerDes3 からの受信データ バスの 64 ビッ ト グループ。

    RX_SERDES_DATA4[31:0] 入力 RX_SERDES_CLK[4] SerDes4 からのデータ バス。

    RX_SERDES_DATA5[31:0] 入力 RX_SERDES_CLK[5] SerDes5 からのデータ バス。

    RX_SERDES_DATA6[31:0] 入力 RX_SERDES_CLK[6] SerDes6 からのデータ バス。

    RX_SERDES_DATA7[31:0] 入力 RX_SERDES_CLK[7] SerDes7 からのデータ バス。

    http://japan.xilinx.com

  • 第 2 章 : 製品仕様

    Integrated 100G Ethernet 13PG203 2016 年 4 月 6 日 japan.xilinx.com

    RX_SERDES_DATA8[31:0] 入力 RX_SERDES_CLK[8] SerDes8 からのデータ バス。

    RX_SERDES_DATA9[31:0] 入力 RX_SERDES_CLK[9] SerDes9 からのデータ バス。

    TX_SERDES_ALT_DATA0[15:0] 出力 TX_SERDES_CLK[0]

    SerDes0 への送信データ バスの 16 ビッ ト グループ。 TX_SERDES_DATA バスは各 SerDes レーンに 1 つずつあり ます (合計 10)。 各バスのビッ ト幅は、 CAUI-4 モードで 80 ビッ ト 、 CAUI-10 モードで 32 ビッ トです。 最初の 4 つの SerDes レーンは 80 ビッ ト または 32 ビッ トのどちらでも動作できますが、 残りの 6 つのレーンは 32 ビッ トで動作します。 最初の 4 つのレーンの下位 32 ビットは CAUI-10 モードで使用します。16 ビッ ト グループと 64 ビッ ト グループで構成される 80 ビットのマップはやや複雑です。 詳細は、 第 3 章の 「PCS レーンの多重化」 を参照して ください。

    TX_SERDES_ALT_DATA1[15:0] 出力 TX_SERDES_CLK[1] SerDes1 への送信データ バスの 16 ビッ ト グループ。

    TX_SERDES_ALT_DATA2[15:0] 出力 TX_SERDES_CLK[2] SerDes2 への送信データ バスの 16 ビッ ト グループ。

    TX_SERDES_ALT_DATA3[15:0] 出力 TX_SERDES_CLK[3] SerDes3 への送信データ バスの 16 ビッ ト グループ。

    TX_SERDES_DATA0[63:0] 出力 TX_SERDES_CLK[0] SerDes0 への送信データ バスの 64 ビッ ト グループ。

    TX_SERDES_DATA1[63:0] 出力 TX_SERDES_CLK[1] SerDes1 への送信データ バスの 64 ビッ ト グループ。

    TX_SERDES_DATA2[63:0] 出力 TX_SERDES_CLK[2] SerDes2 への送信データ バスの 64 ビッ ト グループ。

    TX_SERDES_DATA3[63:0] 出力 TX_SERDES_CLK[3] SerDes3 への送信データ バスの 64 ビッ ト グループ。

    TX_SERDES_DATA4[31:0] 出力 TX_SERDES_CLK[4] SerDes4 へのデータ バス。

    TX_SERDES_DATA5[31:0] 出力 TX_SERDES_CLK[5] SerDes5 へのデータ バス。

    TX_SERDES_DATA6[31:0] 出力 TX_SERDES_CLK[6] SerDes6 へのデータ バス。

    TX_SERDES_DATA7[31:0] 出力 TX_SERDES_CLK[7] SerDes7 へのデータ バス。

    TX_SERDES_DATA8[31:0] 出力 TX_SERDES_CLK[8] SerDes8 へのデータ バス。

    TX_SERDES_DATA9[31:0] 出力 TX_SERDES_CLK[9] SerDes9 へのデータ バス。

    RX_SERDES_CLK[9:0] 入力 各 SerDes レーンのリ カバリ ク ロ ッ ク。 各レーンの RX_SERDES_DATA バスは、 このバスの対応するビッ トの立ち上がりエッジに同期します。

    RX_SERDES_RESET[9:0] 入力 RX_SERDES_CLK[9:0]

    各 RX SerDes レーンのリセッ ト 。各 SerDes レーンのリ カバリ ク ロ ッ クには、それぞれに関連付けられたアクティブ High のリセッ トがあ り ます。 関連する リ カバリ ク ロ ッ クが正しい周波数で動作していない場合、 この信号を 1 にします。通常、 この信号は PLL ロ ッ ク信号から生成されます。GT の初期化が完了し、 RX_SERDES_CLK が安定するまでこのリセッ ト信号を リセッ ト状態に保持してください。

    表 2‐2 : ト ランシーバー I/O  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.com

  • Integrated 100G Ethernet 14PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    表 2‐3 : LBUS インターフェイス – クロック/リセッ ト信号

    ポート名 方向 ド メイン 説明

    TX_CLK 入力

    TX ク ロ ッ ク。 100G Ethernet IP コアとユーザー側ロジッ ク間の TX 信号はすべてこの信号の立ち上がりエッジに同期します。 このク ロ ッ ク周波数は、 ラ イン レー ト を SerDes の幅で割った値で、 公称 322.265625MHz です。

    RX_CLK 入力

    RX ク ロ ッ ク。 100G Ethernet IP コアとユーザー側ロジッ ク間の RX 信号はすべてこの信号の立ち上がりエッジに同期します。 このクロ ッ ク周波数は、 TX ク ロ ッ ク と同じ周波数にしてください。

    RX_RESET 入力 非同期 (最小 5nm)

    RX 回路用のリセッ ト。この信号はアクティブ High (1 = リセット ) で、 RX_CLK が安定するまで High に保持しておく必要があ り ます。 RX_RESET 入力は、 100G Ethernet IP コアによって100G Ethernet IP コア内の適切なクロ ッ ク ド メ インに同期されます。

    TX_RESET 入力 非同期 (最小 5nm)

    TX 回路用のリセッ ト。この信号はアクティブ High (1 = リセット ) で、 TX_CLK が安定するまで High に保持しておく必要があ り ます。 TX_RESET 入力は、 100G Ethernet IP コアによって100G Ethernet IP コア内の適切なクロ ッ ク ド メ インに同期されます。

    表 2‐4 : LBUS インターフェイス – RX パス信号

    ポート名 方向 ド メイン 説明

    RX_DATAOUT0[127:0] 出力 RX_CLK セグメン ト LBUS のセグメン ト 0 の受信データ。このバスの値は、RX_ENAOUT0 のサンプル値が 1 のサイクルでのみ有効です。

    RX_DATAOUT1[127:0] 出力 RX_CLK セグメン ト LBUS のセグメン ト 1 の受信データ。

    RX_DATAOUT2[127:0] 出力 RX_CLK セグメン ト LBUS のセグメン ト 2 の受信データ。

    RX_DATAOUT3[127:0] 出力 RX_CLK セグメン ト LBUS のセグメン ト 3 の受信データ。

    RX_ENAOUT0 出力 RX_CLK

    セグメン ト 0 の受信 LBUS イネーブル。 この信号は、 RX セグメン ト LBUS インターフェイスのほかの信号を有効にします。 RXLBUS インターフェイスの信号は、 RX_ENAOUT のサンプル値が1 のサイクルでのみ有効です。

    RX_ENAOUT1 出力 RX_CLK セグメン ト 1 の受信 LBUS イネーブル。

    RX_ENAOUT2 出力 RX_CLK セグメン ト 2 の受信 LBUS イネーブル。

    RX_ENAOUT3 出力 RX_CLK セグメン ト 3 の受信 LBUS イネーブル。

    RX_SOPOUT0 出力 RX_CLKセグメン ト 0 の受信 LBUS SOP (Start-Of-Packet)。 この信号のサンプル値が 1 の場合、 SOP (Start Of Packet) を示します。 この信号はRX_ENAOUT のサンプル値が 1 のサイクルでのみ有効です。

    RX_SOPOUT1 出力 RX_CLK セグメン ト 1 の受信 LBUS SOP (Start-Of-Packet)。

    RX_SOPOUT2 出力 RX_CLK セグメン ト 2 の受信 LBUS SOP (Start-Of-Packet)。

    RX_SOPOUT3 出力 RX_CLK セグメン ト 3 の受信 LBUS SOP (Start-Of-Packet)。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=14

  • Integrated 100G Ethernet 15PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    RX_EOPOUT0 出力 RX_CLKセグメン ト 0 の受信 LBUS EOP (End-Of-Packet)。 この信号のサンプル値が 1 の場合、 EOP (End Of Packet) を示します。 この信号はRX_ENAOUT のサンプル値が 1 のサイクルでのみ有効です。

    RX_EOPOUT1 出力 RX_CLK セグメン ト 1 の受信 LBUS EOP (End-Of-Packet)。

    RX_EOPOUT2 出力 RX_CLK セグメン ト 2 の受信 LBUS EOP (End-Of-Packet)。

    RX_EOPOUT3 出力 RX_CLK セグメン ト 3 の受信 LBUS EOP (End-Of-Packet)。

    RX_ERROUT0 出力 RX_CLK

    セグメン ト 0 の受信 LBUS エラー。 この信号のサンプル値が 1 の場合、 現在受信中のパケッ トにエラーがあるこ とを示します。 この信号は、RX_ENAOUT と RX_EOPOUT の両方のサンプル値が 1のサイ クルでのみ有効です。 この信号の値が 0 の場合、 現在受信中のパケッ トにエラーがないこ とを示します。

    RX_ERROUT1 出力 RX_CLK セグメン ト 1 の受信 LBUS エラー。

    RX_ERROUT2 出力 RX_CLK セグメン ト 2 の受信 LBUS エラー。

    RX_ERROUT3 出力 RX_CLK セグメン ト 3 の受信 LBUS エラー。

    RX_MTYOUT0[3:0] 出力 RX_CLK

    セグメン ト 0 の受信 LBUS エンプティ。このバスは、現在のパケットの最後の転送で RX_DATAOUT バスの何バイ トが空または無効であったかを示し ます。 このバスは、 RX_ENAOUT とRX_EOPOUT の両方のサンプル値が 1 のサイ クルでのみ有効です。 RX_ERROUT と RX_ENAOUT のサンプル値が 1 の場合、RX_MTYOUT[2:0] の値は常に 000 とな り ます。 RX_MTYOUT のほかのビッ トは影響を受けません。

    RX_MTYOUT1[3:0] 出力 RX_CLK セグメン ト 1 の受信 LBUS エンプティ。

    RX_MTYOUT2[3:0] 出力 RX_CLK セグメン ト 2 の受信 LBUS エンプティ。

    RX_MTYOUT3[3:0] 出力 RX_CLK セグメン ト 3 の受信 LBUS エンプティ。

    表 2‐4 : LBUS インターフェイス – RX パス信号  (続き)

    ポート名 方向 ド メイン 説明

    表 2‐5 : LBUS インターフェイス – TX パス信号

    ポート名 方向 ド メイン 説明

    TX_RDYOUT 出力 TX_CLK

    送信 LBUS レディ。この信号は、Integrated 100G Ethernet IP コアの TXパスがデータ受け入れの準備ができているかど うかを示し、ユーザーロジッ クに対するバッ ク プレッシャーの役割を果たします。 値が 1の場合、ユーザー ロジッ クから 100G Ethernet IP コアへデータを渡すこ とができます。値が 0 の場合、一定サイクル以内にユーザー ロジックが 100G Ethernet IP コアへのデータ転送を停止しなければオーバーフローが発生します。

    TX_OVFOUT 出力 TX_CLK

    送信 LBUS オーバーフロー。 この信号は、 TX_RDYOUT 信号によって提供されるバッ ク プレッシャー メカニズムに違反したかど うかを示します。 TX_OVFOUT のサンプル値が 1 の場合、 違反が発生しています。 TX インターフェイスでオーバーフローが発生しないよ うにユーザー ロジッ ク全体を設計する必要があ り ます。 オーバーフロー条件が発生した場合は、 TX パスを リセッ ト します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=15

  • Integrated 100G Ethernet 16PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    TX_UNFOUT 出力 TX_CLK

    送信 LBUS アンダーフロー。 この信号は、LBUS インターフェイスでアンダーフローが発生したかど うかを示します。 TX_UNFOUT のサンプル値が 1 の場合は違反が発生しており、現在のパケッ トが破損しています。アンダーフロー条件が続く間は、エラー制御ブロッ クが送信されます。 LBUS インターフェイスでアンダーランを起こ さずパケッ ト全体をコアに入力できるよ うにユーザー ロジッ クを設計する必要があ り ます。

    TX_DATAIN0[127:0] 入力 TX_CLKセグメン ト LBUS のセグメン ト 0 の送信データ。 このバスは、 ユーザー ロジ ッ クからの入力データを受信し ます。 このバスの値は、TX_ENAIN のサンプル値が 1 のサイクルごとにキャプチャされます。

    TX_DATAIN1[127:0] 入力 TX_CLK セグメン ト LBUS のセグメン ト 1 の送信データ。

    TX_DATAIN2[127:0] 入力 TX_CLK セグメン ト LBUS のセグメン ト 2 の送信データ。

    TX_DATAIN3[127:0] 入力 TX_CLK セグメン ト LBUS のセグメン ト 3 の送信データ。

    TX_ENAIN0 入力 TX_CLK

    セグ メ ン ト 0 の送信 LBUS イネーブル。 この信号を使用して TXLBUS インターフェイスを有効にします。送信セグメン ト LBUS インターフェイスの信号はすべて、 TX_ENAIN のサンプル値が 1 のサイクルでのみサンプルされます。

    TX_ENAIN1 入力 TX_CLK セグメン ト 1 の送信 LBUS イネーブル。

    TX_ENAIN2 入力 TX_CLK セグメン ト 2 の送信 LBUS イネーブル。

    TX_ENAIN3 入力 TX_CLK セグメン ト 3 の送信 LBUS イネーブル。

    TX_SOPIN0 入力 TX_CLK

    セグメン ト 0 の送信 LBUS SOP (Start Of Packet)。この信号のサンプル値が 1 の場合は SOP を示し、 0 の場合はパケッ トのその他の転送であるこ とを示します。 この信号は、 TX_ENAIN のサンプル値が 1 のサイ クルでのみサンプルされます。

    TX_SOPIN1 入力 TX_CLK セグメン ト 1 の送信 LBUS SOP (Start Of Packet)。

    TX_SOPIN2 入力 TX_CLK セグメン ト 2 の送信 LBUS SOP (Start Of Packet)。

    TX_SOPIN3 入力 TX_CLK セグメン ト 3 の送信 LBUS SOP (Start Of Packet)。

    TX_EOPIN0 入力 TX_CLK

    セグメン ト 0 の送信 LBUS EOP (End Of Packet)。 この信号のサンプル値が 1 の場合は EOP を示し、 0 の場合はパケッ トのその他の転送であるこ とを示します。 この信号は、 TX_ENAIN のサンプル値が 1 のサイ クルでのみサンプルされます。

    TX_EOPIN1 入力 TX_CLK セグメン ト 1 の送信 LBUS EOP (End Of Packet)。

    TX_EOPIN2 入力 TX_CLK セグメン ト 2 の送信 LBUS EOP (End Of Packet)。

    TX_EOPIN3 入力 TX_CLK セグメン ト 3 の送信 LBUS EOP (End Of Packet)。

    TX_ERRIN0 入力 TX_CLK

    セグメン ト 0 の送信 LBUS エラー。 この信号のサンプル値が 1 の場合はパケッ トにエラーが含まれるこ とを示し、0 の場合はパケッ トのその他の転送である こ と を示し ます。 この信号は、 TX_ENAIN とTX_EOPIN のサンプル値が 1 のサイクルでのみサンプルされます。この信号のサンプル値が 1 の場合、 最後のデータ ワー ド は IEEE802.3-2012 規格準拠のエラー符号制御ワードで置き換えられ、通信先のデバイスがエラーの含まれるパケッ ト を正し く受信できるよ うにします。 この信号が 1 の状態でパケッ ト が入力された場合、 そのパケッ トに対してのみ FCS チェッ ク と報告は無効になり ます。

    表 2‐5 : LBUS インターフェイス – TX パス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=16

  • Integrated 100G Ethernet 17PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    TX_ERRIN1 入力 TX_CLK セグメン ト 1 の送信 LBUS エラー。

    TX_ERRIN2 入力 TX_CLK セグメン ト 2 の送信 LBUS エラー。

    TX_ERRIN3 入力 TX_CLK セグメン ト 3 の送信 LBUS エラー。

    TX_MTYIN0[3:0] 入力 TX_CLK

    セグメン ト 0 の送信 LBUS エンプティ。 このバスは、 現在のパケット の最後の転送で TX_DATAIN バスの何バイ ト が空または無効であったかを示します。 このバスは、 TX_ENAIN と TX_EOPIN のサンプル値が 1 のサイ クルでのみサンプルされます。 TX_EOPIN とTX_ERRIN のサンプル値が 1 の場合、 TX_MTYIN[2:0] の値は無視され、 000 と して扱われます。 TX_MTYIN のその他のビッ トは影響を受けません。

    TX_MTYIN1[3:0] 入力 TX_CLK セグメン ト 1 の送信 LBUS エンプティ。

    TX_MTYIN2[3:0] 入力 TX_CLK セグメン ト 2 の送信 LBUS エンプティ。

    TX_MTYIN3[3:0] 入力 TX_CLK セグメン ト 3 の送信 LBUS エンプティ。

    表 2‐5 : LBUS インターフェイス – TX パス信号  (続き)

    ポート名 方向 ド メイン 説明

    表 2‐6 : LBUS インターフェイス – TX パス制御/ステータス信号

    ポート名 方向 ド メイン 説明

    CTL_TX_ENABLE 入力 TX_CLK

    TX イネーブル。 この信号のサンプル値が 1 の場合、 データ転送が有効にな り ます。サンプル値が 0 の場合、 100G Ethernet IPコアからはアイ ドルのみが送信されます。 データ送信先のレシーバー (別のデバイスのレシーバー ) のアラ イ メ ン トが完了してデータ受信準備ができるまで (すなわちも う一方のデバイスが リ モー ト フォル ト条件を送信していないこ とが確認できるまで) この入力を 1 にしないでください。 この条件が満たされない場合、データが失われる可能性があ り ます。パケッ ト を送信中にこの信号を 0 にする と、現在のパケッ ト送信が完了した後、 100G Ethernet IP コアはそれ以降のパケッ ト送信を停止します。

    CTL_TX_SEND_LFI 入力 TX_CLKLFI (Local Fault Indication) コード ワード送信。 この入力のサンプル値が 1 の場合、TX パスは LFI (Local Fault Indication) コードワードのみを送信します。

    CTL_TX_SEND_RFI 入力 TX_CLK

    RFI (Remote Fault Indication) ワード送信。この入力のサンプル値が 1 の場合、 TX パスは RFI ワードのみを送信します。 この入力は、 RX パスのアライ メン トが完了して リ ンク パートナーからのデータ受信準備ができるまで 1 にしておいてください。

    CTL_TX_SEND_IDLE 入力 TX_CLK

    アイ ドル ワード送信。 この入力のサンプル値が 1 の場合、 TXパスはアイ ドル ワードのみを送信します。 通信先デバイスがRFI (Remote Fault Indication) ワードを送信中は、この入力を 1 にしてください。

    STAT_TX_LOCAL_FAULT 出力 TX_CLK 値が 1 の場合、 送信エンコーダーのステート マシンが TX_INITステートであるこ とを示します。この出力はレベル センスです。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=17

  • Integrated 100G Ethernet 18PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号

    ポート名 方向 ド メイン 説明

    CTL_RX_ENABLE 入力 RX_CLK

    RX イネーブル。 通常動作モードでは、 この入力を 1 にしておく必要があ り ます。 この入力が 0 の場合、 現在受信中のパケッ トがあれば、そのパケッ トの受信完了後に RXは PCS に対して受信データの復号を停止させ、 パケッ トの受信を終了します。 このモードでは統計情報は報告されず、 LBUS インターフェイスはアイ ドルです。

    CTL_RX_FORCE_RESYNC 入力 非同期 (最小 5nm)

    RX 強制再同期入力。 この信号は、 RX に対して強制的にリ セッ ト、 再同期、 再アラ イ メ ン ト を実行させるために使用します。 値が 1 なら、 強制的に リ セッ ト します。 値が 0 なら、 通常動作が許可されます。 注記 : この入力は、通常は Low にしておき、強制的にアライ メント を実行する際のみパルス (最小 1 サイ クルのパルス) するよ うにします。

    STAT_RX_FRAMING_ERR_0[3:0] 出力 RX_CLK

    レーン 0 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。 各 PCS レーンには、 その PCS レーンで受信した同期ヘッダー エラーの数を示す 4 ビ ッ ト のバスがあ り ます。 こ の バ ス の 値 は、 対 応 す るSTAT_RX_FRAMING_ERR_VALID_[19:0] が 1 の場合のみ有効です。 これらのバスの値はいつでも更新可能で、 同期ヘッダー エラー カウンター用のインク リ メン ト値と して使用します。

    STAT_RX_FRAMING_ERR_1[3:0] 出力 RX_CLK レーン 1 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_2[3:0] 出力 RX_CLK レーン 2 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_3[3:0] 出力 RX_CLK レーン 3 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_4[3:0] 出力 RX_CLK レーン 4 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_5[3:0] 出力 RX_CLK レーン 5 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_6[3:0] 出力 RX_CLK レーン 6 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_7[3:0] 出力 RX_CLK レーン 7 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_8[3:0] 出力 RX_CLK レーン 8 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_9[3:0] 出力 RX_CLK レーン 9 の RX 同期ヘッ ダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_10[3:0] 出力 RX_CLK レーン 10 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_11[3:0] 出力 RX_CLK レーン 11 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_12[3:0] 出力 RX_CLK レーン 12 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=18

  • Integrated 100G Ethernet 19PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_FRAMING_ERR_13[3:0] 出力 RX_CLK レーン 13 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_14[3:0] 出力 RX_CLK レーン 14 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_15[3:0] 出力 RX_CLK レーン 15 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_16[3:0] 出力 RX_CLK レーン 16 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_17[3:0] 出力 RX_CLK レーン 17 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_18[3:0] 出力 RX_CLK レーン 18 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_19[3:0] 出力 RX_CLK レーン 19 の RX 同期ヘッダー ビ ッ ト フレー ミ ング エラー。

    STAT_RX_FRAMING_ERR_VALID_0 出力 RX_CLK

    STAT_RX_FRAMING_ERR_0[3:0] が有効かど う かを示すステータス信号。 この出力のサンプル値が 1 の場合、 対応する STAT_RX_FRAMING_ERR_0[3:0] の値が有効です。

    STAT_RX_FRAMING_ERR_VALID_1 出力 RX_CLK STAT_RX_FRAMING_ERR_1[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_2 出力 RX_CLK STAT_RX_FRAMING_ERR_2[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_3 出力 RX_CLK STAT_RX_FRAMING_ERR_3[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_4 出力 RX_CLK STAT_RX_FRAMING_ERR_4[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_5 出力 RX_CLK STAT_RX_FRAMING_ERR_5[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_6 出力 RX_CLK STAT_RX_FRAMING_ERR_6[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_7 出力 RX_CLK STAT_RX_FRAMING_ERR_7[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_8 出力 RX_CLK STAT_RX_FRAMING_ERR_8[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_9 出力 RX_CLK STAT_RX_FRAMING_ERR_9[3:0] が有効かど う かを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_10 出力 RX_CLK STAT_RX_FRAMING_ERR_10[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_11 出力 RX_CLK STAT_RX_FRAMING_ERR_11[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_12 出力 RX_CLK STAT_RX_FRAMING_ERR_12[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_13 出力 RX_CLK STAT_RX_FRAMING_ERR_13[3:0] が有効かど うかを示すステータス信号。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=19

  • Integrated 100G Ethernet 20PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_FRAMING_ERR_VALID_14 出力 RX_CLK STAT_RX_FRAMING_ERR_14[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_15 出力 RX_CLK STAT_RX_FRAMING_ERR_15[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_16 出力 RX_CLK STAT_RX_FRAMING_ERR_16[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_17 出力 RX_CLK STAT_RX_FRAMING_ERR_17[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_18 出力 RX_CLK STAT_RX_FRAMING_ERR_18[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_FRAMING_ERR_VALID_19 出力 RX_CLK STAT_RX_FRAMING_ERR_19[3:0] が有効かど うかを示すステータス信号。

    STAT_RX_LOCAL_FAULT 出力 RX_CLK

    STAT_RX_INTERNAL_LOCAL_FAULT またはSTAT_RX_RECEIVED_LOCAL_FAULT がアサート されると、 この出力が High になり ます。 この出力はレベル センスです。

    STAT_RX_SYNCED[19:0] 出力 RX_CLK

    ワード境界同期。 これらの信号は、 PCS レーンがワード境界に同期しているかど うかを示します。 値が 1 の場合、対応する PCS レーンがワード境界に同期し、 PCS レーンマーカーを受信したこ とを示します。Clause 82.3 で定義された MDIO レジスタ ビッ ト 3.52.7:0 および 3.53.11:0 に対応します。 この出力はレベル センスです。

    STAT_RX_SYNCED_ERR[19:0] 出力 RX_CLK

    ワード境界同期エラー。 これらの信号は、 各 PCS レーンでワード境界同期中にエラーが発生したかど うかを示します。値が 1 の場合、対応する PCS レーンで同期ヘッダーフレーミ ング ビッ ト エラーによ り ワード境界同期が失われたか、 PCS レーン マーカーが受信されなかったこ とを示します。 この出力はレベル センスです。

    STAT_RX_MF_LEN_ERR[19:0] 出力 RX_CLK

    PCS レーン マーカー長エラー。 この信号は、 各レーンでPCS レーン マーカー長の不一致 (すなわち PCS レーンマーカーの受信間隔が CTL_RX_VL_LENGTH_MINUS1 +1 ワード以外) が発生したこ とを示します。値が 1 の場合、対応するレーンで受信している PCS レーン マーカーの間隔が正し くないこ と を示します。 この出力は、 エラー条件が解消されるまで High のままです。

    STAT_RX_MF_REPEAT_ERR[19:0] 出力 RX_CLK

    PCS レーン マーカー連続エラー。これらの信号は、各レーンで PCS レーン マーカー エラーが 4 回連続して発生したかど うかを示します。 値が 1 の場合、 対応するレーンでエラーが発生したこ と を示します。 この出力は、 エラー条件が解消されるまで High のままです。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=20

  • Integrated 100G Ethernet 21PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_MF_ERR[19:0] 出力 RX_CLK

    PCS レーン マーカー ワード エラー。 これらの信号は、各レーンでフ ォーマ ッ ト の不正な PCS レーン マーカーワードが検出されたかど うかを示します。 値が 1 の場合、エラーが発生したこ と を示します。 エラー条件が発生する と、 この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。 連続するサイクルでパルスするこ と もできます。

    STAT_RX_ALIGNED 出力 RX_CLK

    全 PCS レーンのアラ イ メ ン ト /スキュー調整ステータス。この信号は、 すべての PCS レーンのアラ イ メ ン ト と スキュー調整が完了したかど うかを示します。 値が 1 の場合、 すべての PCS レーンのアライ メン ト と スキュー調整が完了したこ とを示します。 この信号が 1 の場合、 RX パスはアラインされており、 パケッ ト データを受信できます。 この信号が 0 の場合、 ローカル フォルト条件が存在します。 Clause 82.3 で定義された MDIO レジスタ ビッ ト3.50.12 にも対応します。 この出力はレベル センスです。

    STAT_RX_STATUS 出力 RX_CLK

    PCS ステータス。 値が 1 の場合、 PCS のアライ メン トが完了しており HI_BER ステー ト でないこ と を示します。Clause 82.3 で定義された MDIO (Management Data Input/Output) レジスタ ビッ ト 3.32.12 に対応します。 この出力はレベル センスです。

    STAT_RX_BLOCK_LOCK[19:0] 出力 RX_CLK

    各 PCS レーンのブロッ ク ロ ッ ク ステータス。値が 1 の場合、 対応するレーンが Clause 82 で定義されたブロ ッ クロ ッ クを完了しているこ とを示します。Clause 82.3 で定義された MDIO レジスタ ビッ ト 3.50.7:0 および 3.51.11:0 に対応します。 この出力はレベル センスです。

    STAT_RX_ALIGNED_ERR 出力 RX_CLK

    レーン アライ メン ト /スキュー調整のロス ステータス。この信号は、 PCS レーン アライ メン ト中にエラーが発生したか、 PCS レーン アライ メン トが失われたこ とを示します。値が 1 の場合、エラーが発生したこ とを示します。 この出力はレベル センスです。

    STAT_RX_MISALIGNED 出力 RX_CLK

    アライ メン ト エラー。 この信号は、 レーン アライ メン トブロ ッ クがすべてのレーンで受信した PCS レーン マーカーの中に、 想定値と異なる ものがあったこ と を示します。この信号は、すべてのレーンで少なく と も 1 つの PCSレーン マーカーを受信した後、 少なく と も 1 つの不正なレーン マーカーを受信するまでアサート されません。 これは、 エラーから 1 メ タフレーム後に発生します。この信号は、 レーン マーカーを一度も正し く受信していない場合はアサー ト されません。 レーン マーカーのエラーは、対応する STAT_RX_MF_ERR 信号で示されます。 エラー条件が発生する と、 この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。 連続するサイ クルでパルスするこ と もできます。

    STAT_RX_REMOTE_FAULT 出力 RX_CLK

    リモート フォルト ステータス。 このビッ トのサンプル値が 1 の場合、 リモート フォルト条件が検出されたこ とを示します。 このビッ ト のサンプル値が 0 の場合、 リモート フォルト条件は存在しません。 この出力はレベル センスです。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=21

  • Integrated 100G Ethernet 22PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_VL_NUMBER_0[4:0] 出力 RX_CLK

    STAT_RX_VL_NUMBER_0[4:0] 信号は、どの物理レーンがPCS レーン 0 を受信し ているかを示し ます。STAT_RX_VL_NUMBER[4:0] バスは全部で 20 あ り ます。このバスは、 STAT_RX_VL_SYNCED[19:0] の対応するビッ ト が 1 の場合のみ有効です。 これらの出力はレベルセンスです。

    STAT_RX_VL_NUMBER_1[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 1 を受信しているかを示します。

    STAT_RX_VL_NUMBER_2[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 2 を受信しているかを示します。

    STAT_RX_VL_NUMBER_3[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 3 を受信しているかを示します。

    STAT_RX_VL_NUMBER_4[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 4 を受信しているかを示します。

    STAT_RX_VL_NUMBER_5[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 5 を受信しているかを示します。

    STAT_RX_VL_NUMBER_6[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 6 を受信しているかを示します。

    STAT_RX_VL_NUMBER_7[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 7 を受信しているかを示します。

    STAT_RX_VL_NUMBER_8[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 8 を受信しているかを示します。

    STAT_RX_VL_NUMBER_9[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 9 を受信しているかを示します。

    STAT_RX_VL_NUMBER_10[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 10 を受信しているかを示します。

    STAT_RX_VL_NUMBER_11[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 11 を受信しているかを示します。

    STAT_RX_VL_NUMBER_12[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 12 を受信しているかを示します。

    STAT_RX_VL_NUMBER_13[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 13 を受信しているかを示します。

    STAT_RX_VL_NUMBER_14[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 14 を受信しているかを示します。

    STAT_RX_VL_NUMBER_15[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 15 を受信しているかを示します。

    STAT_RX_VL_NUMBER_16[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 16 を受信しているかを示します。

    STAT_RX_VL_NUMBER_17[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 17 を受信しているかを示します。

    STAT_RX_VL_NUMBER_18[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 18 を受信しているかを示します。

    STAT_RX_VL_NUMBER_19[4:0] 出力 RX_CLK どの物理レーンが PCS レーン 19 を受信しているかを示します。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=22

  • Integrated 100G Ethernet 23PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_VL_DEMUXED[19:0] 出力 RX_CLK

    PCS レーン マーカー検出。 このバスの信号のサンプル値が 1 の場合、 レシーバーがその PCS レーンを正し くデマルチプレクスしたこ と を示します。 これらの出力はレベル センスです。

    STAT_RX_BAD_FCS[4-1:0] 出力 RX_CLK

    不正 FCS インジケーター。 値が 1 の場合、 受信したパケッ ト の FCS が Stomped FCS ではな く不正な FCS である こ と を示します。 Stomped FCS とは、 期待される正常な FCS の各ビ ッ ト を反転したものと定義されます。 エラー条件が発生する と、この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。 連続するサイ クルでパルスする こと もできます。

    STAT_RX_STOMPED_FCS[4-1:0] 出力 RX_CLK

    Stomped FCS インジケーター。値が 1 以上の場合、StompedFCS のパケ ッ ト を 1 つ以上受信した こ と を示し ます。Stomped FCS とは、 期待される正常な FCS の各ビッ ト を反転したものと定義されます。 Stomped 条件が発生すると、この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。連続するサイクルでパルスするこ と もできます。

    STAT_RX_TRUNCATED 出力 RX_CLK

    パケッ ト切り捨てインジケーター。 値が 1 の場合、 現在転送 中 の パ ケ ッ ト の 長 さ がCTL_RX_MAX_PACKET_LEN[14:0] を超えており、 切り捨てられたこ とを示します。 パケッ ト切り捨ての条件が発生すると、 この出力が 1 クロッ ク サイクルの間パルスされます。 連続するサイクルでパルスするこ と もできます。

    STAT_RX_INTERNAL_LOCAL_FAULT 出力 RX_CLK

    テス ト パターン生成、 不正なレーン アラ イ メ ン ト、 高ビッ ト エラー率 (BER) のいずれかによって内部ローカルフォル ト が生成される と、 この信号が High にな り ます。フォルト条件が続く間は、 この信号は High のままです。

    STAT_RX_RECEIVED_LOCAL_FAULT 出力 RX_CLK

    リ ン ク パー ト ナーから十分な数のローカル フ ォル トワードを受信して、IEEE フォルト ステート マシンによって指定されたフォル ト条件が ト リ ガーされる と、 この信号が High に遷移します。 フォルト条件が続く間は、 この信号は High のままです。

    STAT_RX_BIP_ERR_0 出力 RX_CLK

    PCS レーン 0 の BIP8 エラー ステータス信号。値が 0 でない場合、 対応する PCS レーンの BIP8 シグネチャ バイ トがエラーであったこ とを示します。0 でない値は 1 ク ロ ック サイ クルの間パルスされます。 エラー条件が発生すると、この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。連続するサイクルでパルスするこ と もできます。

    STAT_RX_BIP_ERR_1 出力 RX_CLK PCS レーン 1 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_2 出力 RX_CLK PCS レーン 2 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_3 出力 RX_CLK PCS レーン 3 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_4 出力 RX_CLK PCS レーン 4 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_5 出力 RX_CLK PCS レーン 5 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_6 出力 RX_CLK PCS レーン 6 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_7 出力 RX_CLK PCS レーン 7 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_8 出力 RX_CLK PCS レーン 8 の BIP8 エラー ステータス信号。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=23

  • Integrated 100G Ethernet 24PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_BIP_ERR_9 出力 RX_CLK PCS レーン 9 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_10 出力 RX_CLK PCS レーン 10 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_11 出力 RX_CLK PCS レーン 11 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_12 出力 RX_CLK PCS レーン 12 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_13 出力 RX_CLK PCS レーン 13 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_14 出力 RX_CLK PCS レーン 14 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_15 出力 RX_CLK PCS レーン 15 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_16 出力 RX_CLK PCS レーン 16 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_17 出力 RX_CLK PCS レーン 17 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_18 出力 RX_CLK PCS レーン 18 の BIP8 エラー ステータス信号。

    STAT_RX_BIP_ERR_19 出力 RX_CLK PCS レーン 19 の BIP8 エラー ステータス信号。

    STAT_RX_HI_BER 出力 RX_CLK

    高ビッ ト エラー率 (BER) インジケーター。値が 1 の場合、802.3 で定義された高 BER であるこ とを示します。Clause82.3 で定義された MDIO レジスタ ビッ ト 3.32.1 に対応します。 この出力はレベル センスです。

    表 2‐7 : LBUS インターフェイス – RX パス制御/ステータス信号  (続き)

    ポート名 方向 ド メイン 説明

    表 2‐8 : その他のステータス/制御信号

    ポート名 方向 ド メイン 説明

    STAT_RX_GOT_SIGNAL_OS 出力 RX_CLK

    シグナル OS インジケーター。 このビッ トのサンプル値が 1 の場合、 シグナル OS ワードを受信したこ と を示します。 通常、 イーサネッ ト ネッ トワークでシグナル OS を受信するこ とはあ り ません。

    CTL_RX_TEST_PATTERN 入力 RX_CLK

    RX コアのテス ト パターン チェッ ク イネーブル。値が 1 の場合、 Clause 82.2.18 で定義されたテス トモードが有効になり ます。 Clause 82.3 で定義された MDIO レジスタ ビッ ト 3.42.2 に対応します。スク ランブル アイ ドル パターンをチェッ ク します。

    CTL_TX_TEST_PATTERN 入力 TX_CLK

    TX コアのテス ト パターン生成イネーブル。 値が1 の場合、 Clause 82.2.18 で定義されたテス ト モードが有効にな り ます。 Clause 82.3 で定義されたMDIO レジスタ ビッ ト 3.42.3 に対応します。 スクランブル アイ ドル パターンを生成します。

    STAT_RX_TEST_PATTERN_MISMATCH[2:0] 出力 RX_CLK

    テス ト パターン不一致インク リ メン ト 。任意のサイ クルにおいて、 RX コアで発生したテス ト パターン不一致の発生回数を 0 以外の値で示します。この出力は、CTL_RX_TEST_PATTERN が 1 の場合のみアクテ ィ ブです。 この出力を使用してClause 82.3 で定義された MDIO レジスタ 3.43.15:0を生成できます。 この出力は 1 ク ロ ッ ク サイクルの間、 パルスされます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=24

  • Integrated 100G Ethernet 25PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    CTL_CAUI4_MODE 入力 非 同 期 ステート

    この入力が High の場合、 Integrated 100G EthernetIP コアは CAUI-4 モードで動作し、 Low の場合はCAUI-10 モードで動作します。

    CTL_TX_LANE0_VLM_BIP7_OVERRIDE 入力 TX_CLK

    この入力が High の場合、PCS レーン 0 マーカーのbip7 バイ ト はCTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE[7:0] でオーバーライ ド されます。

    CTL_TX_LANE0_VLM_BIP7_OVERRIDE_VALUE[7:0] 入力 TX_CLK

    CTL_TX_LANE0_VLM_BIP7_OVERRIDE がアサー ト された場合、 この入力値で PCS レーン 0マーカーの bip7 バイ ト をオーバーライ ド します。

    STAT_RX_LANE0_VLM_BIP7[7:0] 出力 RX_CLK 受信した PCS レーン 0 マーカーの bip7 バイ トの値がこの信号に出力されます。

    STAT_RX_LANE0_VLM_BIP7_VALID 出力 RX_CLK STAT_RX_LANE0_VLM_BIP[7:0] の値が有効の場合、 この出力がアサート されます。

    表 2‐8 : その他のステータス/制御信号  (続き)

    ポート名 方向 ド メイン 説明

    表 2‐9 :統計情報インターフェイス – RX パス

    ポート名 方向 ド メイン 説明

    STAT_RX_TOTAL_BYTES[7:0] 出力 RX_CLK 受信したすべてのバイ トの数に応じてインク リ メン ト します。

    STAT_RX_TOTAL_PACKETS[4-1:0] 出力 RX_CLK 受信したすべてのパケッ トの数に応じてインク リ メン ト します。

    STAT_RX_TOTAL_GOOD_BYTES[13:0] 出力 RX_CLK受信したすべての正常なバイ ト の数に応じてインク リ メ ン トします。 パケッ ト を完全に受信して、 そのパケッ ト にエラーが含まれない場合のみ 0 以外の値になり ます。

    STAT_RX_TOTAL_GOOD_PACKETS 出力 RX_CLK受信したすべての正常なパケッ トの数に応じてインク リ メ ント します。パケッ ト を完全に受信して、そのパケッ トにエラーが含まれない場合のみ 0 以外の値になり ます。

    STAT_RX_PACKET_BAD_FCS 出力 RX_CLK 64 ~ ctl_rx_max_packet_len バイ トで FCS エラーのあるパケット ごとにインク リ メン ト します。

    STAT_RX_PACKET_64_BYTES 出力 RX_CLK 64 バイ トのパケッ ト (正常パケッ ト と不良パケッ トの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_65_127_BYTES 出力 RX_CLK 65 ~ 127 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_128_255_BYTES 出力 RX_CLK 128 ~ 255 バイ トのパケッ ト (正常パケッ ト と不良パケッ トの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_256_511_BYTES 出力 RX_CLK 256 ~ 511 バイ トのパケッ ト (正常パケッ ト と不良パケッ トの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_512_1023_BYTES 出力 RX_CLK 512 ~ 1,023 バイ トのパケッ ト (正常パケッ ト と不良パケッ トの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_1024_1518_BYTES 出力 RX_CLK 1,024 ~ 1,518 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_1519_1522_BYTES 出力 RX_CLK 1,519 ~ 1,522 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_1523_1548_BYTES 出力 RX_CLK 1,523 ~ 1,548 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%26%2312460%3B%26%2312452%3B%26%2312489%3B&releaseVersion=1.0&docPage=25

  • Integrated 100G Ethernet 26PG203 2016 年 4 月 6 日 japan.xilinx.com

    第 2 章 : 製品仕様

    STAT_RX_PACKET_1549_2047_BYTES 出力 RX_CLK 1,549 ~ 2,047 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_2048_4095_BYTES 出力 RX_CLK 2,048 ~ 4,095 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_4096_8191_BYTES 出力 RX_CLK 4,096 ~ 8,191 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_8192_9215_BYTES 出力 RX_CLK 8,192 ~ 9,215 バイ ト のパケッ ト (正常パケッ ト と不良パケットの両方) を受信するたびにインク リ メン ト します。

    STAT_RX_PACKET_SMALL[4-1:0] 出力 RX_CLK 64 バイ ト未満の長さのすべてのパケッ ト ごとにインク リ メ ント します。

    STAT_RX_PACKET_LARGE 出力 RX_CLK 9215 バイ ト を超える長さのすべてのパケッ ト ごとにインク リメン ト します。

    STAT_RX_UNICAST 出力 RX_CLK 正常なユニキャス ト パケッ ト ごとにインク リ メン ト します。

    STAT_RX_MULTICAST 出力 RX_CLK 正常なマルチキャス ト パケッ トごとにインク リ メン ト します。

    STAT_RX_BROADCAST 出力 RX_CLK 正常なブロードキャス ト パケッ ト ご とにインク リ メ ン ト します。

    STAT_RX_OVERSIZE 出力 RX_CLK CTL_RX_MAX_PACKET_LEN を超える長さで FCS が正常なパケッ ト ごとにインク リ メン ト します。

    STAT_RX_TOOLONG 出力 RX_CLK CTL_RX_MAX_PACKET_LEN を超える長さで FCS が正常および不正なパケッ ト ごとにインク リ メン ト します。

    STAT_RX_UNDERSIZE[4-1:0] 出力 RX_CLK STAT_RX_MIN_PACKET_LEN よ り短い長さで FCS が正常なパケッ ト ごとにインク リ メン ト します。

    STAT_RX_FRAGMENT[4-1:0] 出力 RX_CLK stat_rx_min_packet_len よ り短い長さで FCS の不正なパケッ トごとにインク リ メン ト します。

    STAT_RX_VLAN 出力 RX_CLK good 802.1Q タグ付きの VLAN パケッ ト ご とにインク リ メ ント します。

    STAT_RX_INRANGEERR 出力 RX_CLK Length フ ィールドがエラーのある FCS が正常なパケッ ト ごとにインク リ メン ト します。

    STAT_RX_JABBER 出力 RX_CLK CTL_RX_MAX_PACKET_LEN を超える長さで FCS の不正なパケッ ト ごとにインク リ メン ト します。

    STAT_RX_PAUSE 出力 RX_CLK FCS が正常な 802.3x イーサネッ ト MAC Pause パケッ ト ごとにインク リ メン ト します。

    STAT_RX_USER_PAUSE 出力 RX_CLK FCS が正常な優先度ベース Pause パケッ ト ご とにインク リ メン ト します。

    STAT_RX_BAD_CODE[6:0] 出力 RX_CLK

    64B/66B 符号違反のたびにインク リ メ ン ト します。 この信号は、 RX PCS 受信ステー ト マシンが 802.3 仕様で定義されたRX_E ステー ト である こ と を示します。 この出力を使用してClause 82.3 で定義された MDIO レジスタ 3.33:7:0 を生成できます。

    表 2‐9 :統計情報インターフェイス – RX パス  (続き)

    ポート名 方向 ド メイン 説明

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Product_Guide&docId=PG203&Title=UltraScale+%20Devices%20Integrated%20100G%20Ethernet%20Subsystem%20v1.0%20%26%2335069%3B%26%2321697%3B%2