160
LogiCORE IP UltraScale アーキテクチャ FPGA メモリ インターフェイス ソリューション v5.0 製品ガ イ ド Vivado Design Suite PG150 2014 4 2

LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

  • Upload
    others

  • View
    3

  • Download
    1

Embed Size (px)

Citation preview

Page 1: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

LogiCORE IP UltraScale アーキテクチャ FPGA メモリ インターフェイス ソリューシ ョ ン v5.0

製品ガイド

Vivado Design Suite

PG150 2014 年 4 月 2 日

Page 2: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 2PG150 2014 年 4 月 2 日

目次

セクショ ン I : 概要

IP 情報

セクシ ョ ン II : DDR3/DDR4

第 1章 : 概要機能一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

第 2章 : 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

リ ソース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

第 3章 : コアのアーキテクチャ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

メモ リ コン ト ローラー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15PHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 4章 : コアを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

DDR3 の PCB ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

DDR4 の PCB ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

ピンおよびバンクの規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

第 5章 : デザイン フローの手順コアのカスタマイズと生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

合成と インプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

第 6章 : サンプル デザインサンプル デザインのシ ミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン). . . . . . . . . . . . . 81

第 7章 : テストベンチスティ ミ ュラス パターン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

Page 3: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 3PG150 2014 年 4 月 2 日

バス使用率 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

サンプル パターン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

パフォーマンス ト ラフ ィ ッ ク ジェネレーターのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

セクシ ョ ン III : QDR II+ SRAM

第 8章 : 概要機能一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

第 9章 : 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

リ ソース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

第 10章 : コアのアーキテクチャ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96PHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

第 11章 : コアを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

QDR II+ SRAM の PCB ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

ピンおよびバンクの規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

第 12章 : デザイン フローの手順コアのカスタマイズと生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

合成と インプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

第 13章 : サンプル デザインサンプル デザインのシ ミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン). . . . . . . . . . . . 116

第 14章 : テストベンチ

セクシ ョ ン IV : RLDRAM 3

第 15章 : 概要機能一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

第 16章 : 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

リ ソース使用量 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

Page 4: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 4PG150 2014 年 4 月 2 日

第 17章 : コアのアーキテクチャ概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

メモ リ コン ト ローラー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127PHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

第 18章 : コアを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

RLDRAM 3 の PCB ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

ピンおよびバンクの規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

第 19章 : デザイン フローの手順コアのカスタマイズと生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

合成と インプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

第 20章 : サンプル デザインサンプル デザインのシ ミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン). . . . . . . . . . . . 151

第 21章 : テストベンチ

セクシ ョ ン V : 付録

付録 A : デバッグザイ リ ンクス ウェブサイ トでのヘルプへのアクセス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

ハードウェアのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

付録 B : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

Page 6: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 6PG150 2014 年 4 月 2 日 Production 製品仕様

はじめに

ザイ リ ンクス UltraScale アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ン (MIS) コアは、あらかじめ構築されたコン ト ローラーと物理層 (PHY) を組み合わせたコアであ り、UltraScale アーキテクチャ FPGA を使用したユーザーデザインを、DDR3/DDR4 SDRAM、QDR II+ SRAM、RLDRAM3 デバイスに接続するインターフェイスを提供します。

この製品ガイ ドでは、 UltraScale アーキテクチャ FPGA 用のDDR3/DDR4 SDRAM、QDR II+ SRAM、RLDRAM 3 LogiCOREIP インターフェイス コアの使用、カスタマイズ、シ ミ ュレーシ ョ ンの方法について解説します。コア アーキテクチャについても説明し、 そのカスタマイズ方法および接続方法の詳細も示します。

機能

DDR3/DDR4 SDRAM、 QDR II+ SRAM、 RLDRAM 3 インターフェイスの機能については、 次のセクシ ョ ンを参照して ください。

• DDR3/DDR4 SDRAM : 第 1 章の 「機能一覧」

• QDR II+ SRAM : 第 8 章の 「機能一覧」

• RLDRAM 3 : 第 15 章の 「機能一覧」

IP の概要

この LogiCORE IP について

コアの概要

サポート される

デバイス ファ ミ リ (1) Kintex® UltraScale ファ ミ リ

サポート される

ユーザー インターフェイス

ユーザー、 ネイティブ

リ ソース 表 2-1、 表 2-2、 表 9-1、 表 16-1 参照

コアに含まれるもの

デザイン ファイル RTL

サンプル デザイン Verilog

テス トベンチ Verilog

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

なし

サポート される

ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン フロー (2)

デザイン入力Vivado Design Suite

Vivado IP インテグレーター

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノート、 インス トール、 およびラ

イセンス』 を参照

合成 Vivado 合成

サポート

japan.xilinx.com/support で提供

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、『Vivado Design Suiteユーザー ガイ ド : リ リース ノー ト、 インス トール、 およびライ

センス』 を参照して ください。

Page 8: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8PG150 2014 年 4 月 2 日

第 1章

概要ザイ リ ンクス UltraScale™ アーキテクチャには、DDR3/DDR4 SDRAM メモ リ インターフェイス ソ リ ューシ ョ ン (MIS)コアが含まれます。 MIS コアは、 これら SDRAM タイプのメモ リに接続するためのインターフェイス ソ リ ューシ ョンを提供します。 メモ リ コン ト ローラー全体のソ リ ューシ ョ ンと、 物理層 (PHY) のみを提供するソ リ ューシ ョ ンの両方をサポート しています。 DDR3/DDR4 コアの UltraScale アーキテクチャは次の上位ブロッ クで構成されます。

• コン ト ローラー ― ユーザー インターフェイスからのバース ト ト ランザクシ ョ ンを受信し、 SDRAM との間の トランザクシ ョ ンを生成します。 コン ト ローラーは SDRAM のタイ ミ ング パラ メーターと リ フレッシュを制御します。 書き込み/読み出し時のバスの方向切り替えに伴うデッ ド サイ クルを減らすために、 これらの両ト ランザクシ ョ ンを結合します。 さ らに、 SDRAM へのデータ バス使用率を改善するためにコマンドの並べ替えも実行します。

• 物理層 ― SDRAM への高速インターフェイスを提供します。 この層には FPGA 内のハード ブロ ッ ク と、 それらハード ブロ ッ ク と SDRAM 間で 適なインターフェイス タイ ミ ングを確保するために使用されるソフ ト ブロ ック キャ リブレーシ ョ ン ロジッ クが含まれます。

UltraScale アーキテクチャに新たに導入されたハード ブロ ッ クによって、 大 2400Mb/s のインターフェイス速度を実現できるよ うになり ました。 SDRAM ト ランザクシ ョ ン、 タイ ミ ング、 リ フレッシュは、 すべてアプリ ケーシ ョ ン ロジッ クが処理します。

° ハード ブロ ッ クには次の機能があ り ます。

- データのシ リアライズと送信

- データのキャプチャ とデシ リ アライズ

- 高速クロ ッ クの生成と同期

- 電圧および温度のト ラ ッキング機能を備えた、 ピンごとの粗精度/細精度の遅延調整エレ メン ト

° ソフ ト ブロ ッ クには次の機能があ り ます。

- メモ リ初期化 ― キャ リブレーシ ョ ン モジュールは、 メモリ タイプ固有の JEDEC® 準拠初期化ルーチンを提供します。 必要に応じて初期化プロセスの遅延をバイパスしてシ ミ ュレーシ ョ ン時間を短縮できます。

- キャ リブレーシ ョ ン ― キャ リブレーシ ョ ン モジュールは、 ハード ブロ ッ ク内のすべての遅延を設定し、 ソフ ト IP がメモ リ インターフェイスで適切に動作できるよ うにする包括的な方法を提供します。インターフェイス性能を 適化するために、各ビッ ト を個別にト レーニングしてから結合します。 キャリブレーシ ョ ン プロセスの結果はザイ リ ンクスのデバッグ ツールで確認できます。 キャ リブレーシ ョンの完了後、 PHY 層は SDRAM への raw (未調整) インターフェイスを提供します。

• アプリ ケーシ ョ ン インターフェイス ― ユーザー インターフェイス層は、 アプリ ケーシ ョ ンにシンプルな FIFOインターフェイスを提供します。 データはバッファーに格納され、 読み出しデータは要求順に出力されます。

上記のユーザー インターフェイス層は、 コン ト ローラーへのネイティブ インターフェイスの上位に配置されます。 ユーザー インターフェイスを取り去ればネイティブ インターフェイスにアクセスできます。 ネイティブ インターフェイスにはバッファー機能がなく、 SDRAM から受信した順にアプリ ケーシ ョ ンにデータを返します。この受信順序は、元の要求順序と必ずしも一致しません。ネイティブ インターフェイスを使用する場合、アプ リケーシ ョ ンで読み出しおよび書き込みデータをバッファーに格納し、データの順序を並べ替えなければならないこ とがあ り ます。一方で、ネイティブ インターフェイスがレイテンシと ロジッ ク使用率を可能な限り 小にするこ と も事実です。

Page 10: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 10PG150 2014 年 4 月 2 日

第 1 章 :概要

機能一覧

DDR3 SDRAM

• 16 ビッ ト幅インターフェイスをサポート

• DDR3 (1.5V)

• 4Gb のデバイスをサポート

• 8 バンクをサポート

• x8 および x16 デバイスをサポート

• 8:1 の DQ:DQS 比をサポート

• 8 ワード バース ト をサポート

• 5 ~ 14 サイクルの CAS (列アドレス ス ト ローブ) レイテンシ (CL) をサポート

• オンダイ終端 (ODT) をサポート

• 5 ~ 10 サイクルの CAS 書き込みレイテンシをサポート

• DDR3 のライ ト レベリ ングをサポート (コンポーネン ト デザインに必要なフライバイ配線トポロジ)

• JEDEC® 準拠の DDR3 初期化をサポート

• ソース コードは Verilog で提供

• 4:1 のメモリ対 FPGA ロジッ ク インターフェイスのクロ ッ ク比をサポート

• 常時開、 常時閉、 ト ランザクシ ョ ンごとのプリチャージ コン ト ローラーの動作ポリシー

DDR4 SDRAM

• 16 ビッ ト幅インターフェイスをサポート

• 4Gb のデバイスをサポート

• x8 および x16 デバイスをサポート

• 8:1 の DQ:DQS 比をサポート

• 8 ワード バース ト をサポート

• 9 ~ 24 サイクルの CAS (列アドレス ス ト ローブ) レイテンシ (CL) をサポート

• ODT をサポート

• 9 ~ 18 サイクルの CAS 書き込みレイテンシをサポート

• DDR4 のライ ト レベリ ングをサポート (コンポーネン ト デザインに必要なフライバイ配線トポロジ)

• JEDEC 準拠の DDR4 初期化をサポート

• ソース コードは Verilog で提供

• 4:1 のメモリ対 FPGA ロジッ ク インターフェイスのクロ ッ ク比をサポート

• 常時開、 常時閉、 ト ランザクシ ョ ンごとのプリチャージ コン ト ローラーの動作ポリシー

Page 11: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 11PG150 2014 年 4 月 2 日

第 1 章 :概要

ライセンスおよび注文情報このザイ リ ンクス LogiCORE IP モジュールは、ザイ リ ンクス Vivado Design Suite に無償で含まれ、ザイ リ ンクス エンド ユーザー ライセンス規約に同意も元で使用できます。この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado® デザイン ツールでは、 設計フローにライセンスが必要な IP の使用を確認する、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ラ イセンスチェッ クポイン トが適用されるのは、 次のツールです。

• Vivado デザイン ツール : Vivado 合成

• Vivado インプリ メンテーシ ョ ン

• write_bitstream (Tcl コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

Page 12: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 12PG150 2014 年 4 月 2 日

第 2章

製品仕様

規格このコ アは、 JEDEC® Solid State Technology Association (JEDEC 半導体技術協会) によ る、 『DDR3 SDRAM 規格』(JESD79-3F) および 『DDR4 SDRAM 規格』 (JESD79-4) [参照 1] に準拠しています。

UltraScale™ アーキテクチャに関する資料の詳細は、 158 ページの 「参考資料」 を参照して ください。

性能

最大周波数

大周波数の詳細は、『Kintex UltraScale アーキテクチャ データシート : DC および AC スイ ッチ特性』 (DS892) [参照 2]を参照してください。

リソース使用量

Kintex UltraScale デバイス

表 2-1 と表 2-2 に、 Kintex® UltraScale™ デバイスのリ ソース概数を示します。

表 2‐1 :デバイス使用量 ― Kintex UltraScale FPGA (DDR3)

パラメーター値 デバイス リソース

インターフェイス幅 FF LUT メモリ LUTRAMB36E2/RAMB18E2

BUFG PLLE3_ADV MMCME3_ADV

72 13,423 11,940 1,114 25.5 5 3 1

32 8,099 7,305 622 25.5 5 2 1

16 6,020 5,621 426 25.5 5 1 1

Page 13: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 13PG150 2014 年 4 月 2 日

第 2 章 :製品仕様

Kintex UltraScale デバイスの場合に UltraScale アーキテクチャ FPGA MIS コアに必要な リ ソースを見積も り ました。Vivado® IP カタログを使用して得られた値です。 合成後レポートから求めた値であ り、 インプリ メンテーシ ョ ン時に変化する可能性があ り ます。

ポートの説明メモ リ インターフェイス コアの 上位にはユーザー デザインと呼ばれる 3 つのポート カテゴ リがあ り ます。

• 第 1 のカテゴ リは SDRAM に直接接続する メモ リ インターフェイス信号です。 これらは JEDEC 仕様で定義されています。

• 第 2 のカテゴ リはアプリ ケーシ ョ ン インターフェイス信号で、 ネイティブ インターフェイスまたはよ り単純なユーザー インターフェイスのいずれかです。 これらは、 56 ページの 「プロ ト コルの説明」 で解説しています。

• 第 3 のカテゴ リには、 コアの適切な動作に必要なその他の信号が含まれます。 クロ ッ ク、 リセッ ト 、 コアからのステータス信号などです。 クロ ッ クおよびリセッ ト信号については、 それぞれ該当セクシ ョ ンで説明します。

アクティブ High の init_calib_complete 信号は、 初期化とキャ リブレーシ ョ ンが完了し、 インターフェイスがコマンドを受け入れ可能な状態になったこ とを示します。

表 2‐2 :デバイス使用量 ― Kintex UltraScale FPGA (DDR4)

パラメーター値 デバイス リソース

インターフェイス幅 FF LUT メモリ LUTRAMB36E2/RAMB18E2

BUFG PLLE3_ADV MMCME3_ADV

72 13,535 11,905 1,105 25.5 5 3 1

32 8,010 7,161 622 25.5 5 2 1

16 5,864 5,363 426 25.5 5 1 1

Page 14: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 14PG150 2014 年 4 月 2 日

第 3章

コアのアーキテクチャこの章では、UltraScale™ アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ン コアについて、モジュールおよびインターフェイスの概要を説明します。

概要図 3-1 に UltraScale アーキテクチャ FPGA のメモリ インターフェイス ソ リ ューシ ョ ンを示します。

X-Ref Target - Figure 3-1

図 3‐1 : UltraScale アーキテクチャ FPGA のメモリ インターフェイス ソリューシ ョ ン コア

Page 15: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 15PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

メモリ コン ト ローラーメモ リ コン ト ローラー (MC) は、 多くのアプ リ ケーシ ョ ンに適した汎用デザインです。 MC は一般的な状況においてロジッ ク使用率、 スループッ ト、 レイテンシ、 効率のバランスを取り ます。

MC デザインの一方はネイティブ インターフェイス と、 も う一方は PHY と接しています。 これらのインターフェイスによって、 メモ リ コン ト ローラーには一定のデザイン制約が課せられます。

図 3-2 に、 メモ リ コン ト ローラーのブロ ッ ク図を示します。

X-Ref Target - Figure 3-2

図 3‐2 : メモリ コン ト ローラーのブロック図

Page 16: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 16PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

ネイテ ィブ インターフェイス

ネイティブ インターフェイスは、 読み出しまたは書き込みのいずれに対しても、 データのパイプライン機能を提供しません。書き込みの場合、データはそれが必要になる 1 サイクル前にデータ バッファー アドレスを指定するこ とで要求され、 次のサイクルで供給されるものと想定します。 したがって、 データに対してはいかなる種類のバッファー機能も提供されません (データを特定の DDR クロ ッ クで配置するバレル シフ トによる場合を除く )。

読み出しの場合、データは使用可能になったサイ クルで MC によって提供されます。読み出しデータは、準備できるとただちにバッファー アドレス と共にネイティブ インターフェイスに出力されます。 このデータは、 ネイティブ インターフェイス マスターで受信する必要があ り ます。

未処理状態 (Outstanding) にできる要求の数は、 mcGroup モジュールが提供するコマンド バッファーの数で決ま り ます。 DDR3 にはグループがあ り ませんが、 DDR4 の名称付きのグループは DDR4 x4 デバイスおよび x8 デバイスいずれかにある実際のグループを表します (各グループに 4 つのバンクを提供)。 DDR3 の場合、各 mcGroup モジュールは2 つのバンクを提供します。 DDR4 x16 インターフェイスの場合、 mcGroup は 1 ビッ トのグループ (x16 ではグループビッ トが 1 つしかない)、 および 1 ビッ トのバンクに相当します。 この場合、 mcGroup は 2 バンクを提供します。

制御パスおよびデータパス

制御パス

制御パスは mcGroup から開始します。mcGroup は 2 つ以上、場合によっては 4 つのコマンドをバッファ リ ングし、それらをアドレス競合に対処しながら割り振るこ とができます。各 mcGroup が n 個のコマンドを保持できる場合、保留コマンドの総数は 小 n 個から 大 n x 4 個です。 ただし、 供給されるアドレスの指定先がすべて 1 つのグループに含まれている場合に限り ます。

データパス

読み出しおよび書き込みデータはメモ リ コン ト ローラーを通過せず、 mcCal モジュールに直接接続されます。 MC はmcRead および mcWrite モジュールに必要な制御信号を生成し、 読み出しおよび書き込みデータのタイ ミ ングを知らせます。 これら 2 つのモジュールは要求に応じて適切なタイ ミ ングでデータを取得または供給します。

読み出しおよび書き込みの結合

DDR メモ リの効率を 大にする中心的な役割を担うのが読み出し と書き込みの結合という概念です。 読み出しから書き込み、 書き込みから読み出しへの切り替えでは、 バスが占有されるため貴重な時間が消費されます。 このため、コン ト ローラーは同じ種類の動作をまとめるこ とを目指します。 結合する ト ランザクシ ョ ンの数は、 2 つのパラ メーターで制御します。

RDCYCLES (デフォルト値は 256) と WRCYCLES (デフォルト値は 128) です。 スターベーシ ョ ンを防ぐために、 これらのパラ メーター仕様によってカウンター (各 10 ビッ ト ) を保持および制御し、2 つの動作モード (読み出し と書き込み) を切り替えます。 MC は特定インスタンスで読み出しまたは書き込みのいずれかのモードにあ り、 そのタイプ (読み出しまたは書き込み) の要求のみに応えます。 他方のモードに切り替わるのは、 保留中の要求がすべて他方のタイプである場合、 またはカウンターが満了した場合です。

読み出しおよび書き込みのサイ クル数は、 RDCYCLES および WRCYCLES パラ メーターによって変更できます。 パラ メーター値の設定が小さすぎる と読み出しおよび書き込みモード間の切り替えが頻繁に発生し、効率が低下する恐れがあるので注意が必要です。 逆に、 設定を大き く しすぎる とバス効率は向上しますが、 読み出しレイテンシが増加するこ とになり ます。

Page 17: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 17PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

順序変更 (リオーダリング)

mcGroup モジュールは、 ト ランザクシ ョ ンの順序を限られた方法で変更します。モジュールにはコマンド キューがあり ます。 このキューは、 アドレス競合が発生した場合に、 読み出しか書き込みかによって順序変更されます。 高速動作を実現するため、 あま り複雑な順序変更はインプリ メン ト されていません。 アドレス競合はバンク間のみで確認され、 ページ間の競合は確認されません。

読み出し と書き込みは、 動作モード (読み出しまたは書き込みのいずれか) に応じて入れ違いになる場合があ り ます。読み出し (書き込み) は、 ページ ステータスに応じて読み出し (書き込み) を先越す場合があ り ます。 たとえば、 先行する動作がページが開くのを待っている状況で、 ある読み出し (書き込み) が別の読み出し (書き込み) を飛び越す場合です。

別の ト ランザクシ ョ ンを割り振った方が動作効率が高まる可能性がある場合でも、 スターベーシ ョ ンを防ぐために、ある ト ランザクシ ョ ンが割り振られます。 エージングのメカニズムは、 この目的で実装されています。

グループ マシン

メモ リ コン ト ローラーには 4 つのグループ ステート マシンがあ り ます。 これらのステート マシンは、 テク ノ ロジ(DDR3 または DDR4) と幅 (x4、 x8、 x16) に従って割り当てられます。 各グループ マシンの割り当てについて次にまとめます。 こ こで、 GM はグループ マシン (0 ~ 3)、 BG はグループ アドレス、 BA はバンク アドレスを表します。 グループ ステート マシンの説明におけるグループは、 概念的なグループであ り、 実際のグループと必ずしも一致しません (DDR4 の x4、 x8 の場合を除く )。

• DDR3、 全デバイス ― 合計 8 バンク

° GM 0 : BA[2:1] == 2'b00、 バンク 0 と 1 に対応

° GM 1 : BA[2:1] == 2'b01、 バンク 2 と 3 に対応

° GM 2 : BA[2:1] == 2'b10、 バンク 4 と 5 に対応

° GM 3 : BA[2:1] == 2'b11、 バンク 6 と 7 に対応

• DDR4、 x4 および x8 デバイス ― 合計 16 バンク

° GM 0 : BG0 に対応、 グループあたり 4 バンク

° GM 1 : BG1 に対応、 グループあたり 4 バンク

° GM 2 : BG2 に対応、 グループあたり 4 バンク

° GM 3 : BG3 に対応、 グループあたり 4 バンク

• DDR4、 x16 デバイス ― 合計 8 バンク

° GM 0 : BG0、 BA[1] == 0 に対応、 グループあたり 2 バンク

° GM 1 : BG0、 BA[1] == 1 に対応、 グループあたり 2 バンク

° GM 0 : BG1、 BA[1] == 0 に対応、 グループあたり 2 バンク

° GM 1 : BG1、 BA[1] == 1 に対応、 グループあたり 2 バンク

Page 18: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 18PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

エージング メ カニズム (読み出しのみ)

性能向上のためにト ランザクシ ョ ンを結合できます。 優先順位付けで考慮するこ との 1 つが空きバンクです。 その設定によっては、一部のト ランザクシ ョ ンが過度に遅延する場合があるからです。このよ うな問題を緩和するために AGINGパラ メーターを使用できます。指定した待機サイクル値に達した ト ランザクシ ョ ンは、キューの先頭へと移動されます。それが (競合によって) 不可能な場合 、 優先順位付けのために先行するすべてのト ランザクシ ョ ンが完了します。

PHY

PHY には、外部の DDR3 または DDR4 SDRAM デバイスへの下位の物理インターフェイス、および物理インターフェイス自体の動作信頼性を確保するためのキャ リブレーシ ョ ン ロジッ クがすべて含まれます。 PHY はメモリ デバイスとのインターフェイスに必要な信号のタイ ミ ングおよびシーケンスを生成します。

PHY には次のよ うな機能があ り ます。

• ク ロ ッ ク /アドレス /制御信号の生成ロジッ ク

• 書き込みおよび読み出しデータパス

• 電源投入後の SDRAM 初期化ロジッ ク

さ らに、システムの静的遅延および動的遅延に対応するために、読み出しおよび書き込みデータパスのタイ ミ ング トレーニングを実行するキャ リブレーシ ョ ン ロジッ ク もあ り ます。

Page 19: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 19PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

PHY アーキテクチャ全体

UltraScale アーキテクチャの PHY は専用ブロッ ク と ソフ ト キャ リブレーシ ョ ン ロジッ クで構成されています。 専用ブロ ッ クは互いに隣接して配置され、高性能な物理層を構築するために必要なクロ ッ クおよびデータパス配線を 短に抑えるよ う、 インターコネク トで直接接続されています。

メモ リ コン ト ローラーおよびキャ リブレーシ ョ ン ロジッ クは、 4 分周または 2 分周された低周波数クロ ッ ク ド メ インにある、 この専用 PHY と通信します。 分周比は DDR3 または DDR4 のメモ リ ク ロ ッ クに依存します。 図 3-3 に、PHY デザインの詳細なブロ ッ ク図を示します。

メモ リ コン ト ローラーは、 コン ト ローラーと物理層を明確に分離するため、 下位の PHY 要件からコマンド処理を独立させるよ うに設計されています。 コマンド処理は必要に応じてカスタム ロジッ クに置き換えるこ とができますが、その場合も PHY とのインターフェイス用ロジッ クは変わらず、 そのままキャ リブレーシ ョ ン ロジッ クで使用できます。

X-Ref Target - Figure 3-3

図 3‐3 : PHY のブロック図

Page 20: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 20PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

PHY アーキテクチャは phy.v 内のすべてのロジッ クを包含します。 PHY には、 よ り小さなコンポーネン トから メモリ インターフェイスを構築するために、 専用ハード ブロ ッ クに対するラ ッパーがあ り ます。 バイ ト レーンにはすべてのクロ ッ ク、 リセッ ト 、および特定の I/O サブセッ トのデータパスが含まれます。専用クロ ッキング リ ソース と共に複数のバイ ト レーンをま とめてグループ化し、 単一バンクのメモ リ インターフェイスを構築します。 ハード シ リコン物理層アーキテクチャの詳細は、『UltraScale™ アーキテクチャ SelctIO™ リ ソース Advance 仕様ユーザー ガイ ド』(UG571) [参照 3] を参照してください。

メモ リの初期化は Verilog RTL で実行されます。 キャ リブレーシ ョ ンと ト レーニングは内蔵 MicroBlaze™ プロセッサによって実装されます。MicroBlaze コン ト ローラー システム (MCS) は I/O モジュールとブロ ッ ク RAM にで構成されます。 calAddrDecode.v モジュールは、プロセッサからシステムのほかの部分へのインターフェイスを提供し、ヘルパー ロジッ クをインプリ メン ト します。 config_rom.v モジュールは、 初期化とキャ リブレーシ ョ ンの動作を制御する設定を格納し、 ソース コードを再コンパイルせずに調整できるランタイム オプシ ョ ンを提供します。

アドレス ユニッ トは MCS をローカル レジスタ セッ ト と PHY に接続します。そのために、 メモ リ マップ内の空間から、 I/O モジ ュール バス上でア ド レ ス デコード と制御変換を実行し、 戻 り データ を多重化し ます(calAddrDecode.v)。 さ らに、 DRAM インターフェイスの論理的概念から、 PHY アドレス空間における、 ピン配置に依存する適切な遅延制御ロケーシ ョ ンへのアドレス変換 (マッピングと呼ばれる場合もある ) も実行します。

キャ リブレーシ ョ ン アーキテクチャが提供するアドレス マップは、 個々のデータ、 制御、 およびコマンド ビッ トの遅延要素を操作する単純で整理されたものである一方、 これらの I/O ピンの配置方法には柔軟性があ り ます。 特定のI/O 配置の場合、 FPGA ロジッ クへのパスは特定ピンに固定されます。 1 つのバイナリ ソフ ト ウェア ファ イルですべてのメモ リ インターフェイス ピン配置に対応できるよ う、変換ブロ ッ クは単純な RIU アドレス指定をターゲッ ト デザインのピン配置に固有の RIU アドレスに変換します。 固有のアドレス変換はピン配置の選択後に MIG によって書き込まれます。 次のコードは、 これをサポートする RTL 構造の例を示したものです。

Casez(io_address)// MicroBlaze I/O module address // … static address decoding skipped //========================================// //===========DQ ODELAYS===================// //========================================// //Byte0 28’h0004100: begin //dq2 riu_addr_cal = /* MIG Generated */ 6’hd; riu_nibble = /* MIG Generated */ ‘h0; end // … additional dynamic addressing follows

表 3‐1 : PHY モジュール

モジュール名 説明

mcCal.v cal.v、mcPI.v、およびキャ リブレーシ ョ ンと メモ リ コン ト ローラー間の MUX が含まれます。

cal.v MicroBlaze 処理システムと関連するロジッ クが含まれます。

mcPI.v 読み出しおよび書き込みのために、 PHY の信号タイ ミ ングを調整します。

calAddrDecode.v MicroBlaze プロセッサの FPGA ロジッ ク インターフェイスです。

Config_rom キャ リブレーシ ョ ン オプシ ョ ンのコンフ ィギュレーシ ョ ンを格納する場所です。

microblaze MicroBlaze プロセッサです。

iob.v バイ ト IOB モジュールすべてをインスタンシエート します。

iobByte.v 特定のバイ ト レーンに含まれるすべての信号で使用する I/O バッファーを生成します。

Page 21: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 21PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

この例では、DQ0 がニブル 0 の Bit[0] に出力されます (ニブル 0 になるのはインスタンシエーシ ョ ン順による )。Bit[0]の ODELAY の RIU アドレスは 0x0D です (RIU アドレス マップの詳細は RIU 仕様を参照)。 DQ0 のアドレスが指定される と、 つま りアド レス 0x000_4100 が指定される と、 コードのこの部分がアクティブになり ます。 これによ り、 ニブル 0 が有効になり (ワン ホッ ト ダウンス ト リームにデコード され)、 アドレス 0x0D が RIU アドレス バスに転送されます。

MicroBlaze の I/O モジュール インターフェイスは、 3 クロ ッ ク サイクルに 1 回の 大レートで更新されます。 キャ リブレーシ ョ ンに必要な全機能を実装するには、このレートでは十分に高速でない場合があり ます。calAddrDecode.vに実装されたヘルパー回路は、 レジスタからコマンドを取得し、 少なく と もその一部を PHY に発行できるよ うに 1 サイクル精度に変換するのに必要な回路です。 この回路はさらに、 連続読み出し ト ランザクシ ョ ンと読み出しデータ比較を可能にするコマンド繰り返し機能もサポート します。

メモリの初期化およびキャリブレーシ ョ ンのシーケンス

システム リセッ トのディアサート後、 PHY はまず内部キャ リブレーシ ョ ン手順をいくつか実行します。

1. I/O オフセッ ト キャ リブレーシ ョ ンを開始します。 これは、 シングルエンドの各ピンを内部調整し、 シングルエンドのバッファーと差動バッファー間に本質的に存在する任意のオフセッ ト を相殺する動作です。

2. I/O オフセッ ト キャ リブレーシ ョ ンが完了したら、 PHY の内蔵セルフチェッ ク (BISC) を実行します。

3. PHY 内で BISC を使用し、 キャ リブレーシ ョ ン完了後の電圧および温度のト ラ ッキング機能で使用する内部スキューを計算します。

4. BISC が完了する と、キャ リブレーシ ョ ン ロジッ クはメモ リに必要なパワーオン初期化シーケンスを実行します。その後、書き込みおよび読み出しデータパスのタイ ミ ング キャ リブレーシ ョ ンが複数の段階にわたって実行されます。

5. キャ リブレーシ ョ ンの完了後、PHY は電圧および温度のト ラ ッキング機能で使用する内部オフセッ ト を計算します。

6. PHY がキャ リブレーシ ョ ンの完了を示し、 コン ト ローラーはメモ リへのコマンド発行を開始します。

Page 22: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 22PG150 2014 年 4 月 2 日

第 3 章 : コアのアーキテクチャ

図 3-4 に、 メモ リの初期化およびキャ リブレーシ ョ ンの各段階を含む全体的なフローを示します。

X-Ref Target - Figure 3-4

図 3‐4 : PHY 全体の初期化およびキャリブレーシ ョ ンのシーケンス

Page 23: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 23PG150 2014 年 4 月 2 日

第 4章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

クロッキングメモ リ インターフェイスには MMCM が 1 つ、TXPLL がメモ リ インターフェイスが使用する I/O バンクあたり 1 つ、BUFG が 2 つ、 BUFGCE が 1 つ必要です。 これらのク ロ ッキング コンポーネン ト を使用して、 適切なクロ ッ ク周波数と メモ リ インターフェイスの正常動作に必要な位相シフ ト を生成します。

TXPLL は各バンクに 2 つあ り ます。 1 つのバンクを 2 つのメモ リ インターフェイスで共用する場合、 そのバンクにある両方の TXPLL を使用します。

注記 : MIG によって適切なクロ ッキング構造が生成されますが、 RTL への変更はサポート されていません。

MIG ツールは目的とするインターフェイス向けに適切なク ロ ッキング構造を生成します。 この構造は変更できません。 可能なクロ ッ ク コンフ ィギュレーシ ョ ンは次のとおりです。

• GCIO に接続される差動基準クロ ッ ク ソース

• GCIO から MMCM (GCIO と同じバンク内に配置されたもの)

• MMCM から BUFG (MMCM と同じバンク内に配置されたもの)

• BUFG (MMCM 内) から BUFG (メモ リ インターフェイスの中央のバンクに配置されたもの)。 FPGA ロジッ クおよびすべての TXPLL を駆動

• BUFG (MMCM 内) から 2 分周モードの BUFGCE (メモ リ インターフェイスの中央のバンクに配置されたもの)。1/2 レートの FPGA ロジッ クを駆動

要件

GCIO

• 差動 I/O 規格を使用するこ と

• メモ リ インターフェイス と同じ I/O カラム内にあるこ と

MMCM

• MMCM は FPGA ロジッ クのシステム ク ロ ッ ク (メモ リ ク ロ ッ クの 4 分周) を生成する

• GCIO と同じバンク内にあるこ と

• 内部フ ィードバッ クを使用するこ と

• 入力分周器によって分周される入力クロ ッ ク周波数 70MHz (CLKINx/D 70MHz) であるこ と

• 整数倍の周波数および出力分周値を使用するこ と

Page 24: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 24PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

MMCM の BUFG およびクロック ルート

• MMCM と同じバンク内にあるこ と

BUFG/BUFGCE およびクロック ルート

• BUFGCE はシステム ク ロ ッ クを 2 分周するために使用する

• BUFGCE と BUFG およびクロ ッ ク ルートはメモ リ インターフェイスの も中央寄りのバンクに配置するこ と

° 2 バンク システムの場合、いずれのバンク も使用可能。 MIG は中央バンク と して、 GUI では常に選択された上位のバンクを参照

° 4 バンク システムの場合、中央寄りの 2 つのバンクのいずれも使用可能。 MIG は中央バンク と して、選択された 上位のバンクから 2 番目のバンクを参照。

° BUFG と BUFGCE は同じバンク内にあるこ と

TXPLL

• TXPLL からの CLKOUTPHY は、 そのバンク内の XiPhy を駆動する

• 位相シフ ト 90° の CLKFBOUT を使用するよ うに TXPLL を設定するこ と

• MMCM ロ ッ ク出力が High に遷移するまで TXPLL を リセッ ト状態に保持するこ と

• 内部フ ィードバッ クを使用するこ と

Page 25: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 25PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

図 4-1 に、3 バンク メモ リ インターフェイスのクロ ッキング構造の例を示します。GCIO が 4 番目のバンクの MMCMを駆動し、 この MMCM がメモ リ インターフェイスの中央にあるバンク 2 へのクロ ッ クを BUFG を介して駆動します。 このク ロ ッ クは、 このバンクにある BUFG と BUFGCE の両方を駆動します。 BUFG の出力はインターフェイスの各バンクで使用する TXPLL を駆動します。

リセッ ト非同期のリセッ ト入力を使用できます。このアクティブ High のリセッ ト信号は、コン ト ローラー ク ロ ッ クの 20 サイクル以上アサートする必要があ り ます。

X-Ref Target - Figure 4-1

図 4‐1 : 3 バンク メモリ インターフェイスのクロッキング構造

Page 26: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 26PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

DDR3 の PCB ガイド ライン

概要

こ こでは、 一般的な DDR3 インターフェイスの電気的設計に関するガイ ド ラ インを、 UltraScale™ アーキテクチャのシステム レベル シグナル インテグ リティ シ ミ ュレーシ ョ ンに基づいて示します。 この製品ガイ ドでは、代表的なサンプル デザインと して、x8 デバイスを用いた 4 コンポーネン トの DDR3 32 ビッ ト幅インターフェイスを使用します。

x16 DRAM コンポーネン ト は x8 DRAM コンポーネン ト と物理的な幅が同じであるため、 4 コンポーネン ト (x16DRAM) 64 ビッ ト幅インターフェイスにも同じ設計規則を適用できます。その他の DDR3 メモ リ インターフェイスの設計ガイ ド ラインは、 この製品ガイ ドの今後のバージ ョ ンに記載していく予定です。

重要 : DDR3 インターフェイスに規定されている 大データ レート を実現するために、 こ こに記載されたすべてのガイ ド ラ インに従う こ とを推奨します。 システム レベルのタイ ミ ングを保証するために、 デザインごとにシグナル インテグ リティ シ ミ ュレーシ ョ ンを実施してください。

DDR3 メモリ インターフェイス信号の説明

DDR3 DRAM のメモ リ インターフェイスは、表 4-1 に示すクロ ッ ク、制御、 アドレス、 コマンド、データの各信号から構成されます。

表 4‐1 : DDR3 メモリ インターフェイス信号の説明

信号名 説明

クロック信号

ck_p/n[1:0] 差動クロ ッ ク

制御信号

cke[1:0] ク ロ ッ ク イネーブル

cs_n[1:0] チップ セレク ト

odt[1:0] オンダイ終端イネーブル

アドレス信号

a[15:0] メモ リ アドレス バス

ba[2:0] バンク アドレス

コマンド信号

ras_n 行アドレス選択

cas_n 列アドレス選択

we_n 書き込みイネーブル

データ信号

dq[63:0](1)データ バス

dqs_p/n[3:0] 差動データ ス ト ローブ

1. データ グループには dq と dm が含まれます。

Page 27: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 27PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

基準スタ ックアップ

この設計ガイ ドでは、 表 4-2 のスタ ッ クアップを使用して説明します。 電気的配線の制約は、 すべてこの基準スタ ックアップに対して定義されます。 実際のスタ ッ クアップは、 この基準スタ ッ クアップとは異なる可能性があ り ます。スペースなどの関連する制約は適宜調整する必要があ り ます。

重要 : メモ リ インターフェイスから 大性能を引き出すには、高速のデータ信号を、PCB コア層よ り上の信号層に配線するこ とを推奨します。つま り、図 4-2 の L3/L5 です。 これによって PCB を介したクロス トークの影響が 小限に抑えられます。 addr/cmd/ctrl などのよ り低速な信号はタイ ミ ング マージンが大きいため、 PCB コア層よ り下の信号層に配線できます。差動方式の信号、 ク ロ ッ ク、 ス ト ローブは、 FPGA ピンから DRAM ピンに至るすべての経路で、 緊密にカップ リ ングさせて配線するこ とを推奨します。 PCB コアよ り下の信号層に配線される信号では、図 4-3 に示すとおり タイ ミ ング マージンが劣化する可能性があ り ます。システム設計者は IBIS (I/O Buffer Information Specification) や、その他のシミ ュレーシ ョ ン ツールを使用し、 ザイ リ ンクスのメモ リ シ ミ ュレーシ ョ ン ガイ ド ラインに従ってこのよ うなデザインのタイ ミ ング マージンを判断する必要があ り ます。

表 4‐2 :基準スタ ックアップ

レイヤー 厚さ (mil) 説明

L12.5

0.5oz 上面2.9

L20.6

0.5oz P/G4.5

L30.6

0.5oz SIG4.5

L40.6

0.5oz P/G4.5

L50.6

0.5oz SIG4.5

L61.2

1.0oz P/G8.0

L71.2

1.0oz P/G8.0

L81.2

1.0oz P/G8.0

L91.2

1.0oz P/G8.0

L101.2

1.0oz P/G8.0

L111.2

1.0oz P/G4.5

L120.6

0.5oz SIG4.5

Page 28: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 28PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

注記 : この基準スタ ッ クアップの材質は、 Isola High-Tg FR-4、 370H です。

L130.6

0.5oz P/G4.5

L140.6

0.5oz SIG4.5

L150.6

0.5oz P/G2.9

L16 2.5 0.5oz 下面

表 4‐2 :基準スタ ックアップ (続き)

レイヤー 厚さ (mil) 説明

X-Ref Target - Figure 4-2

図 4‐2 : レイヤー 3 の配線例

X-Ref Target - Figure 4-3

図 4‐3 : レイヤー 14 の配線例

Page 29: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 29PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

4 つの DRAM コンポーネン ト構成のトポロジおよび配線ガイ ド ライン

addr/cmd/ctrl のフライバイ終端

高速の信号を使用する DDR3 では、 シグナル インテグ リ テ ィ を 適化するために、 addr/cmd/ctrl 信号に対してフライバイ ト ポロジを適用します。 各 DRAM のアドレス、 コマンド、 制御の各ピンは、 単一の ト レースに接続されて遠端で終端されます。

クロックのフライバイ終端

フライバイ ト ポロジにおいて本質的に避けられないクロ ッ ク と dqs 信号間のタイ ミ ング スキューは、 DDR3 のライト レベリ ング機能によって低減されます。

X-Ref Target - Figure 4-4

図 4‐4 : 4 つの DRAM コンポーネン ト構成における addr/cmd/ctrl のフライバイ終端

表 4‐3 : addr/cmd/ctrl 信号に対するインピーダンス、 配線長、 スペースのガイドライン

パラ メーターL0 (FPGA ブレークアウト )

L1

(メイン PCB)L2 (DRAM ブレークアウト )

L3 (DRAM 間) L4 (RTT まで) 単位

ト レース タイプ ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

シングルエンド インピーダンス Z0 50±10% 36±10% 50±10% 50±10% 39±10% Ω

ト レース幅 4.0 7.0 4.0 4.0 6.0 mil

ト レース長 0 ~ 0.5 1.0 ~ 3.0 0 ~ 0.1 0.35 ~ 0.55 0.6 ~ 1.0 インチ

addr/cmd/ctrl のスペース ( 小値) 4.0 8.0 4.0 8.0 8.0 mil

クロ ッ ク信号とのスペース ( 小値) 8.0 20 8.0 20 20 mil

その他のグループ信号とのスペース( 小値)

8.0 30 30 30 30 mil

大 PCB ビア数 6.0。 配置は図 4-4 を参照してください。 –

X-Ref Target - Figure 4-5

図 4‐5 : 4 つの DRAM コンポーネン ト構成におけるクロックのフライバイ終端

Page 30: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 30PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

データ信号のポイン ト ツー ポイン ト接続

表 4‐4 : クロック信号に対するインピーダンス、 配線長、 スペースのガイド ライン

パラ メーターL0 (FPGA ブレークアウト )

L1

(メイン PCB)L2 (DRAM ブレークアウト )

L3 (DRAM 間) L4 (RTT まで) 単位

ト レース タイプ ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ッ プライン

ス ト リ ップライン

ク ロ ッ クの差動インピーダンス Zdiff 86±10% 76±10% 86±10% 90±10% 76±10% Ω

ト レース幅/スペース /幅 4.0/4.0/4.0 6.0/6.0/6.0 4.0/4.0/4.0 4.0/5.0/4.0 6.0/6.0/6.0 mil

ト レース長 0 ~ 0.5 1.0 ~ 3.0 0 ~ 0.1 0.35 ~ 0.55 0.6 ~ 1.0 インチ

addr/cmd/ctrl のスペース ( 小値) 8.0 20 8.0 20 20 mil

その他のグループ信号とのスペース ( 小値)

8.0 30 30 30 30 mil

信号あたりの 大 PCB ビア数 6.0。 配置は図 4-4 と図 4-5 を参照して ください。 –

X-Ref Target - Figure 4-6

図 4‐6 : 4 つの DRAM コンポーネン ト構成におけるデータ信号のポイン ト ツー ポイン ト接続

表 4‐5 :データ信号に対するインピーダンス、 配線長、 スペースのガイド ライン

パラ メーターL0 (FPGA 信号ブレークアウト )

L1 (メイン PCB)L2 (DRAM 信号ブレークアウト )

単位

ト レース タイプ ス ト リ ップライン ス ト リ ップライン ス ト リ ップライン –

dq のシングルエンド インピーダンス Z0 50±10% 39±10% 50±10% Ω

dqs の差動インピーダンス Zdiff 86±10% 76±10% 86±10% Ω

ト レース幅 (公称値) 4.0 6.0 4.0 mil

差動ト レースの幅/スペース /幅 4.0/4.0/4.0 6.0/6.0/6.0 4.0/4.0/4.0 mil

ト レース長 (公称値) 0 ~ 0.5 1.0 ~ 5.0 0 ~ 0.1 インチ

バイ ト内のスペース ( 小値) 4.0 8.0 4.0 mil

バイ ト間のスペース ( 小値) 4.0 20 4.0 mil

dq と ス ト ローブのスペース ( 小値) 4.0 20 8.0 mil

その他のグループ信号とのスペース ( 小値) 8.0 30 30 mil

大 PCB ビア数 2.0。 配置は図 4-6 を参照してください。 –

Page 31: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 31PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

DDR3 の配線制約

DDR3 メモ リ インターフェイスの各信号グループには、 次の 2 つの制約要件があ り ます。

• 総配線長の制約

• 配線長一致の制約

表 4-6 に総配線長の制約を示します。

表 4-7 に配線長一致の制約を示します。

表 4-8 にデータ グループ配線長一致の制約を示します。

重要 : 配線長一致の制約には、 パッケージの配線長も含める必要があ り ます。

表 4‐6 :総配線長の制約

信号グループ 参照図 総配線長の制約 (インチ)

addr/cmd/ctrl P0+L0+L1+8×L2+3×L3+L4 図 4-4 8.4

データ信号 P0+L0+L1+L2 図 4-6 7.0

表 4‐7 :データ グループ配線長一致の制約

信号グループ 配線長一致の制約 (mil)

データ とス ト ローブ ス ト ローブ ± 20

データ (1) ±15

dqs_p と dqs_n ±5.0

1. データ グループには dq と dm が含まれます。

表 4‐8 : addr/cmd/ctrl 配線長一致の制約

信号 信号セグメン ト 配線長一致の制約

addr/cmd/ctrl、 ク ロ ッ ク

FPGA から DRAM #1

±50mil

FPGA から DRAM #2

FPGA から DRAM #3

FPGA から DRAM #4

DRAM #1 から DRAM #2

DRAM #2 から DRAM #3

DRAM #3 から DRAM #4

DRAM #4 から RTT

ck_p と ck_n

FPGA から DRAM #1

±5.0mil

FPGA から DRAM #2

FPGA から DRAM #3

FPGA から DRAM #4

DRAM #1 から DRAM #2

DRAM #2 から DRAM #3

DRAM #3 から DRAM #4

DRAM #4 から RTT

Page 32: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 32PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

一般的な配線ガイ ド ライン

1. 同じバイ ト信号は同じ層に含めます。

2. 信号ラインは、 べた基準プレーン上に配線します。 図 4-7 に示すよ うに、 ボイ ド上に配線するこ とは避けてください。

X-Ref Target - Figure 4-7

図 4‐7 :べた基準プレーン上の信号配線

Page 33: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 33PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

3. 基準プレーンが分断している箇所の上に配線するこ とは避けてください (図 4-8)。

4. 図 4-7 に示すとおり、 配線はブレークアウ ト領域を除き、 基準プレーンおよびボイ ドの縁から 30mil 以上離します。

5. ブレークアウ ト領域の信号ラインは、 ビア用ボイ ドのアパーチャの中央に配線します。 ビア用ボイ ドの縁に配線するこ とは避けてください (図 4-9)。

6. 信号ビアの近くに GND スティ ッチング ビアを設けます。

X-Ref Target - Figure 4-8

図 4‐8 :基準プレーンの分断部分の上の信号配線

X-Ref Target - Figure 4-9

図 4‐9 : ブレークアウト領域の配線

Page 34: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 34PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

7. addr/cmd/ctrl の VTT 終端では、 4 つの終端抵抗につき 1 つの 0.1μF キャパシタを組み合わせ、 4 つの抵抗と物理的に交互に並べます (図 4-10)。

8. 図 4-11 にコンポーネン トが 1 つの場合の実装を 適化する推奨配置を示します。 図 4-12 にコンポーネン トが 5つの場合のフライバイ ト ポロジによる実装の推奨配置を示します。

X-Ref Target - Figure 4-10

図 4‐10 : addr/cmd/ctrl の VTT 終端

Page 36: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 36PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ODT 設定

表 4-9 に、 4 つのコンポーネン ト構成の DDR3 32 ビッ ト x8 DRAM または 64 ビッ ト x16 DRAM シングル ランクにおける ODT の推奨設定を示します。

X-Ref Target - Figure 4-12

図 4‐12 : コンポーネン トが 5 つの場合のフライバイ トポロジによる推奨配置

表 4‐9 : ODT 設定

項目 設定

ODT_NOM 40

ODT_WR 無効

Page 37: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 37PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

DDR4 の PCB ガイド ライン

概要

こ こでは、一般的な DDR4 インターフェイスの電気的設計に関するガイ ド ラインを、 UltraScale アーキテクチャのシステム レベル シグナル インテグ リティ シ ミ ュレーシ ョ ンに基づいて示します。 この製品ガイ ドでは、 代表的なサンプル デザインと して、 x8 デバイスを用いた 4 コンポーネン トの DDR4 32 ビッ ト幅インターフェイスを使用します。

x16 DRAM コンポーネン トは x8 DRAM コンポーネン ト と物理的な幅が同じであるこ とから、 4 コンポーネン ト (x16DRAM) 64 ビッ ト幅インターフェイスにも同じ設計規則を適用できます。その他の DDR4 メモ リ インターフェイスの設計ガイ ド ラインは、 この製品ガイ ドの今後のバージ ョ ンに記載していく予定です。

重要 : DDR4 インターフェイスに規定されている 大データ レート を実現するために、 こ こに記載されたすべてのガイ ド ラ インに従う こ とを推奨します。 システム レベルのタイ ミ ングを保証するために、 デザインごとにシグナル インテグ リティ シ ミ ュレーシ ョ ンを実施してください。

DDR4 メモリ インターフェイス信号の説明

DDR4 DRAM のメモ リ インターフェイスは、 表 4-10 に示すクロ ッ ク、 制御、 アドレス、 データの各信号から構成されます。

表 4‐10 : DDR4 メモリ I/O 信号の説明

信号名 説明

クロック信号

ck_t、 ck_c 差動クロ ッ ク

制御信号

cke ク ロ ッ ク イネーブル

cs_n チップ セレク ト

odt オンダイ終端イネーブル

アドレス信号

a[17:0] アドレス入力

bg[1:0] バンク グループ入力

ba[1:0] バンク アドレス入力

act_n アクティブ化コマンド入力

par コマンドおよびアドレスのパリティ入力

データ信号

dq[63:0] データ入力/出力

dqs_t,_c[3:0] データ ス ト ローブ (差動)

dm_n/dbi_n(1)データ マスクおよびデータ バス反転

1. データ グループには dq と dm_n/dbi_n が含まれます。

Page 38: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 38PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

基準スタ ックアップ

この設計ガイ ドでは、表 4-11 のスタ ッ クアップを使用して説明します。電気的配線の制約は、すべてこの基準スタ ックアップに対して定義されます。 実際のスタ ッ クアップは、 この基準スタ ッ クアップとは異なる可能性があ り ます。スペースなどの関連する制約は適宜調整する必要があ り ます。

重要 : メモ リ インターフェイスから 大性能を引き出すには、高速のデータ信号を、PCB コア層よ り上の信号層に配線するこ とを推奨します。 つま り、 図 4-13 の L3/L5 です。 これによって PCB を介したクロス トークの影響が 小限に抑えられます。 addr/cmd/ctrl などのよ り低速の信号はタイ ミ ング マージンが大きいため、 PCB コア 層よ り下の信号層に配線できます。差動方式の信号、 ク ロ ッ ク、 ス ト ローブは、 FPGA ピンから DRAM ピンに至るすべての経路で、 緊密にカップ リ ングさせて配線するこ とを推奨します。 PCB コアよ り下の信号層に配線される信号では、 図 4-14 に示すとおり タイ ミング マージンが劣化する可能性があ り ます。システム設計者は IBIS (I/O Buffer Information Specification) や、その他のシ ミ ュレーシ ョ ン ツールを使用し、 ザイ リ ンクスのメモ リ シ ミ ュレーシ ョ ン ガイ ド ラインに従ってこのよ うなデザインのタイ ミ ング マージンを判断する必要があ り ます。

表 4‐11 :基準スタ ックアップ

レイヤー 厚さ (mil) 説明

L12.5

0.5oz 上面2.9

L20.6

0.5oz P/G4.5

L30.6

0.5oz SIG4.5

L40.6

0.5oz P/G4.5

L50.6

0.5oz SIG4.5

L61.2

1.0oz P/G8.0

L71.2

1.0oz P/G8.0

L81.2

1.0oz P/G8.0

L91.2

1.0oz P/G8.0

L101.2

1.0oz P/G8.0

L111.2

1.0oz P/G4.5

L120.6

0.5oz SIG4.5

Page 39: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 39PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

注記 : この基準スタ ッ クアップの材質は、 Isola High-Tg FR-4、 370H です。

L130.6

0.5oz P/G4.5

L140.6

0.5oz SIG4.5

L150.6

0.5oz P/G2.9

L16 2.5 0.5oz 下面

表 4‐11 :基準スタ ックアップ (続き)

レイヤー 厚さ (mil) 説明

X-Ref Target - Figure 4-13

図 4‐13 : レイヤー 3 の配線例

X-Ref Target - Figure 4-14

図 4‐14 : レイヤー 14 の配線例

Page 40: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 40PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

4 つの DRAM コンポーネン ト構成のトポロジおよび配線ガイ ド ライン

addr/cmd/ctrl のフライバイ終端

高速の信号を使用する DDR4 では、 シグナル インテグ リ テ ィ を 適化するために、 addr/cmd/ctrl 信号に対してフライバイ ト ポロジを適用します。 各 DRAM のクロ ッ ク、 アドレス、 コマンド、 制御の各ピンは、 単一の ト レースに接続されて遠端で終端されます。 フライバイ ト ポロジにおいて本質的に避けられないクロ ッ ク と dqs 信号間のタイ ミ ング スキューは、 DDR4 のライ ト レベリ ング機能によって低減されます。

クロックのフライバイ終端

フライバイ ト ポロジにおいて本質的に避けられないクロ ッ ク と dqs 信号間のタイ ミ ング スキューは、 DDR4 のライト レベリ ング機能によって低減されます。

X-Ref Target - Figure 4-15

図 4‐15 : 4 つの DRAM コンポーネン ト構成における addr/cmd/ctrl のフライバイ終端

表 4‐12 : addr/cmd/ctrl 信号に対するインピーダンス、 配線長、 スペースのガイドライン

パラ メーターL0 (FPGA ブレークアウト )

L1

(メイン PCB)L2 (DRAM ブレークアウト )

L3 (DRAM 間) L4 (RTT まで) 単位

ト レース タイプ ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

シングルエンド インピーダンス Z0 50±10% 36±10% 50±10% 50±10% 39±10% Ω

ト レース幅 4.0 7.0 4.0 4.0 6.0 mil

ト レース長 0 ~ 0.5 1.0 ~ 3.0 0 ~ 0.1 0.35 ~ 0.55 0.6 ~ 1 インチ

addr/cmd/ctrl のスペース ( 小値) 4.0 8.0 4.0 8.0 8.0 mil

ク ロ ッ ク信号とのスペース ( 小値) 8.0 20 8.0 20 20 mil

その他のグループ信号とのスペース( 小値)

8.0 30 30 30 30 mil

大 PCB ビア数 6.0。 配置は図 4-15 を参照してください。 –

X-Ref Target - Figure 4-16

図 4‐16 : 4 つの DRAM コンポーネン ト構成におけるクロックのフライバイ終端

Page 41: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 41PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

データ信号のポイン ト ツー ポイン ト接続

表 4‐13 : クロック信号に対するインピーダンス、 配線長、 スペースのガイド ライン

パラ メーターL0 (FPGA ブレークアウト )

L1 (メイン PCB)L2 (DRAM ブレークアウト)

L3 (DRAM 間) L4 (RTT まで) 単位

ト レース タイプ ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ス ト リ ップライン

ク ロ ッ クの差動インピーダンス Zdiff 86 76 86 90 76 Ω

ト レース幅/スペース /幅 4.0/4.0/4.0 6.0/6.0/6.0 4.0/4.0/4.0 4.0/5.0/4.0 6.0/6.0/6.0 mil

ト レース長 0 ~ 0.5 L1 (図 4-15)+0.09

0 ~ 0.1 0.35 ~ 0.55 0.6 ~ 1.0 インチ

addr/cmd/ctrl のスペース ( 小値) 8.0 20 8.0 20 20 mil

その他のグループ信号とのスペース ( 小値)

8.0 30 30 30 30 mil

信号あたりの 大 PCB ビア数 6.0。 配置は図 4-16 を参照して ください。 –

X-Ref Target - Figure 4-17

図 4‐17 : 4 つの DRAM コンポーネン ト構成におけるデータ信号のポイン ト ツー ポイン ト接続

表 4‐14 :データ信号に対するインピーダンス、 配線長、 スペースのガイド ライン

パラ メーターL0 (FPGA 信号ブレークアウト )

L1 (メイン PCB)L2 (DRAM 信号ブレークアウト )

単位

ト レース タイプ ス ト リ ップライン ス ト リ ップライン ス ト リ ップライン –

dq のシングルエンド インピーダンス Z0 50±10% 39±10% 50±10% Ω

dqs の差動インピーダンス Zdiff 86 76 86 Ω

ト レース幅 (公称値) 4.0 6.0 4.0 mil

差動ト レースの幅/スペース /幅 4.0/4.0/4.0 6.0/6.0/6.0 4.0/4.0/4.0 mil

ト レース長 0 ~ 0.5 1.0 ~ 4.0 0 ~ 0.1 インチ

バイ ト内のスペース ( 小値) 4.0 8.0 4.0 mil

バイ ト間のスペース ( 小値) 4.0 20 4.0 mil

dq と ス ト ローブのスペース ( 小値) 4.0 20 8.0 mil

その他のグループ信号とのスペース ( 小値) 8.0 30 30 mil

大 PCB ビア数 2.0。 配置は図 4-17 を参照して ください。 –

Page 42: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 42PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

DDR4 の配線制約

DDR4 メモ リ インターフェイスの各信号グループには、 次の 2 つの制約要件があ り ます。

• 総配線長の制約

• 配線長一致の制約

表 4-15 に総配線長の制約を示します。

表 4-16 に配線長一致の制約を示します。

表 4-17 にデータ グループ配線長一致の制約を示します。

重要 : 配線長一致の制約には、 パッケージの配線長も含める必要があ り ます。

表 4‐15 :総配線長の制約

信号グループ 参照図 総配線長の制約 (インチ)

addr/cmd/ctrl P0+L0+L1+8×L2+3×L3+L4 図 4-15 8.4

ク ロ ッ ク と addr/cmd/ctrl 図 4-16 +0.09

データ信号 P0+L0+L1+L2 図 4-17 6.0

表 4‐16 :データ グループ配線長一致の制約

信号グループ 配線長一致の制約 (mil)

データ とス ト ローブ ス ト ローブ ± 20

データ (1) ±15

dqs_p と dqs_n ±5.0

1. データ グループには dq と dm_n/dbi_n が含まれます。

表 4‐17 : addr/cmd/ctrl 配線長一致の制約

信号 信号セグメン ト 配線長一致の制約

addr/cmd/ctrl

FPGA から DRAM #1

±50mil

FPGA から DRAM #2

FPGA から DRAM #3

FPGA から DRAM #4

DRAM #1 から DRAM #2

DRAM #2 から DRAM #3

DRAM #3 から DRAM #4

DRAM #4 から RTT

ck_t と ck_c

FPGA から DRAM #1

±5.0mil

FPGA から DRAM #2

FPGA から DRAM #3

FPGA から DRAM #4

DRAM #1 から DRAM #2

DRAM #2 から DRAM #3

DRAM #3 から DRAM #4

DRAM #4 から RTT

Page 43: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 43PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

一般的な配線ガイ ド ライン

1. 同じバイ ト信号は同じ層に含めます。

2. 信号ラインはべた基準プレーン上に配線します。 図 4-18 に示すよ うに、 ボイ ド上に配線するこ とは避けてください。

X-Ref Target - Figure 4-18

図 4‐18 :べた基準プレーン上の信号配線

Page 44: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 44PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

3. 基準プレーンが分断している箇所の上に配線するこ とは避けてください (図 4-19)。

4. 図 4-18 に示すとおり、配線はブレークアウ ト領域を除き、基準プレーンおよびボイ ドの縁から 30mil 以上離します。

5. ブレークアウ ト領域の信号ラインは、 ビア用ボイ ドのアパーチャの中央に配線します。 ビア用ボイ ドの縁に配線するこ とは避けてください (図 4-20)。

6. 信号ビアの近くに GND スティ ッチング ビアを設けます。

X-Ref Target - Figure 4-19

図 4‐19 :基準プレーンの分断部分の上の信号配線

X-Ref Target - Figure 4-20

図 4‐20 : ブレークアウト領域の配線

Page 45: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 45PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

7. addr/cmd/ctrl の VTT 終端では、 4 つの終端抵抗につき 1 つの 0.1μF キャパシタを組み合わせ、 4 つの抵抗と物理的に交互に並べます (図 4-21)。

8. 図 4-22 にコンポーネン トが 1 つの場合の実装を 適化する推奨配置を示します。 図 4-23 にコンポーネン トが 5 つの場合のフライバイ ト ポロジによる実装の推奨配置を示します。

X-Ref Target - Figure 4-21

図 4‐21 : addr/cmd/ctrl の VTT 終端

Page 47: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 47PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ODT 設定

表 4-18 に、4 つのコンポーネン ト構成の DDR4 32 ビッ ト x8 DRAM または 64 ビッ ト x16 DRAM シングル ランクにおける ODT の推奨設定を示します。

X-Ref Target - Figure 4-23

図 4‐23 : コンポーネン トが 5 つの場合のフライバイ トポロジによる 推奨配置

表 4‐18 : ODT 設定

項目 設定

ODT_NOM 40

ODT_PARK 無効

ODT_WR 無効

Page 48: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 48PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ピンおよびバンクの規則

DDR3 のピン規則

こ こでは、 シングル ランク メモ リ インターフェイスの規則について説明します。 マルチランクについては、 ザイ リンクスにお問い合わせください。

• アドレス /制御とは cs_n、 ras_n、 cas_n、 we_n、 ba、 ck、 cke、 a、 odt を意味します。

• 1 バイ ト レーン内のピンには N0 から N12 の番号が付けられています。

• バンク内のバイ ト レーンは、 T0、 T1、 T2、 T3 で識別します。 バイ ト レーン内のニブルは、 バイ ト レーン識別子の末尾に付加される U または L 識別子で区別します。つま り、 T0L、 T0U、 T1L、 T1U、 T2L、 T2U、 T3L、 T3Uのよ うになりす。

注記 :各バンクに 2 つの PLL があ り、コン ト ローラーはインターフェイスが使用するすべてのバンクで PLL を 1 つ使用します。

1. dqs、 dq、 dm の配置

a. x8 または x16 コンポーネン ト を使用するデザイン ― dqs は U で識別される上位ニブル内の専用バイ ト クロ ッ ク ペア上に配置する必要があ り ます。 dqs に対応する dq は、 同じバイ ト レーン内のピン 1 と 12 を除いたいずれかに配置します。

b. x4 コンポーネン ト を使用するデザイン ― dqs はニブル内の専用バイ ト ク ロ ッ ク ペア上に配置する必要があ り ます。 dqs に対応する dq は、 同じニブル内の N1 (下位ニブルの場合) と N12 (上位ニブルの場合) を除いたいずれかのピンに配置します。

c. dm (使用する場合) は、 対応する dqs と同じバイ ト レーン内の N0 ピンに配置する必要があ り ます。

2. バイ ト レーンはデータまたはアドレス /制御のいずれかに設定します。

a. ピン N1 と N12 はデータ バイ ト レーン内でアドレス /制御用に使用できます。

b. アドレス /制御バイ ト レーン内にデータ信号 (dqs、 dq、 dm) は配置できません。

3. アドレス/制御は、 アドレス/制御バイ ト レーン内の 13 本のピンのいずれにも配置できます。 アドレス/制御は同じバンクに含める必要があり ます。 アドレス/制御は も中心寄りのバンク内に配置します。

4. 各バンクに 1 つの vr ピンがあ り、 DCI が必要です。 DCI カスケード接続は禁止です。 『UltraScale™ アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] に記載された DCI に関するすべての規則に従う必要があ り ます。

5. ck はバイ ト レーンの中央にあ り、 上位バイ ト ク ロ ッ ク ペアと呼ばれる PN ペアに配置する必要があ り ます。

6. reset_n は、FPGA ロジッ クのタイ ミ ングおよび選択したバンクの I/O 規格 (LVCMOS15 または LVCMOS135) を満たす限り、 いずれのピンに配置してもかまいません。

7. バンクは 2 つのコン ト ローラーで共有できます。

a. 各バイ ト レーンは特定のコン ト ローラーが専用で使用します (reset_n を除く )。

b. 一方のコン ト ローラーのバイ ト レーンを他方のコン ト ローラーのバイ ト レーン内に配置するこ とはできません。 たとえば、 コン ト ローラー A と B がある場合、 AABB は可能ですが、 ABAB は禁止です。

8. メモ リ インターフェイスが使用するすべての I/O バンクは、 同じカラム内になければなり ません。

9. 144 ビッ ト幅インターフェイスの場合、 インターフェイスの高さは 大でバンク 5 つ分です。

10. バンク スキップは禁止です。

11. インターフェイス内のマスター PLL の入力クロ ッ クは、 メモ リ インターフェイスで使用する I/O カラム内のクロ ッ ク機能を備えたペアによって供給する必要があ り ます。

Page 49: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 49PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

12. 専用の VREF ピンがあ り ます (上記の規則には含まれていない)。 内部または外部の VREF を使用できます。 外部VREF を使用しない場合、 VREF ピンは 500Ω の抵抗でグラ ン ドにプルダウンする必要があ り ます。 詳細は、『UltraScale™ アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] を参照して ください。 これらのピンは、 使用する規格に従って適切に接続します。

13. インターフェイスは同じ I/O バンク タイプ (High Range または High Performance) に含む必要があ り ます。バンクタイプの混用は、 上記手順 6 の reset_n と手順 11 で説明した入力クロ ッ クを除いて禁止です。

DDR3 ピン配置の例

表 4-19 に、 1 つのバンクに含まれる 16 ビッ トの DDR3 インターフェイスの例を示します。 これは、 2 x 8 DDR3 コンポーネン ト を使用するコンポーネン ト インターフェイスの例です。

表 4‐19 : 1 つのバンクに含まれる 16 ビッ トの DDR3 インターフェイス

バンク 信号名 バイ ト グループ I/O タイプ 特記

1 a0 T3U_12 – –

1 a1 T3U_11 N –

1 a2 T3U_10 P –

1 a3 T3U_9 N –

1 a4 T3U_8 P –

1 a5 T3U_7 N DBC-N

1 a6 T3U_6 P DBC-P

1 a7 T3L_5 N –

1 a8 T3L_4 P –

1 a9 T3L_3 N –

1 a10 T3L_2 P –

1 a11 T3L_1 N DBC-N

1 a12 T3L_0 P DBC-P

1 a13 T2U_12 – –

1 a14 T2U_11 N –

1 we T2U_10 P –

1 cas_n T2U_9 N –

1 ras_n T2U_8 P –

1 ck_n T2U_7 N QBC-N

1 ck_p T2U_6 P QBC-P

1 cs_n T2L_5 N –

1 ba0 T2L_4 P –

1 ba1 T2L_3 N –

1 ba2 T2L_2 P –

Page 50: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 50PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

1 pll refclk_n T2L_1 N QBC-N

1 pll refclk_p T2L_0 P QBC-P

1 cke T1U_12 – –

1 dq15 T1U_11 N –

1 dq14 T1U_10 P –

1 dq13 T1U_9 N –

1 dq12 T1U_8 P –

1 dqs1_n T1U_7 N QBC-N

1 dqs1_p T1U_6 P QBC-P

1 dq11 T1L_5 N –

1 dq10 T1L_4 P –

1 dq9 T1L_3 N –

1 dq8 T1L_2 P –

1 odt T1L_1 N QBC-N

1 dm1 T1L_0 P QBC-P

1 vr T0U_12 – –

1 dq7 T0U_11 N –

1 dq6 T0U_10 P –

1 dq5 T0U_9 N –

1 dq4 T0U_8 P –

1 dqs0_n T0U_7 N DBC-N

1 dqs0_p T0U_6 P DBC-P

1 dq3 T0L_5 N –

1 dq2 T0L_4 P –

1 dq1 T0L_3 N –

1 dq0 T0L_2 P –

1 reset_n T0L_1 N DBC-N

1 dm0 T0L_0 P DBC-P

表 4‐19 : 1 つのバンクに含まれる 16 ビッ トの DDR3 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 51: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 51PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

DDR4 のピン規則

こ こでは、 シングル ランク メモ リ インターフェイスの規則について説明します。 マルチランクについては、 ザイ リンクスにお問い合わせください。

• アドレス /制御とは cs_n、 ras_n、 cas_n、 we_n、 ba、 bg、 ck、 cke、 a、 odt、 act_n、 par を意味します。

• 1 バイ ト レーン内のピンには N0 から N12 の番号が付けられています。

• バンク内のバイ ト レーンは、 T0、 T1、 T2、 T3 で識別します。 バイ ト レーン内のニブルは、 バイ ト レーン識別子の末尾に付加される U または L 識別子で区別します。つま り、 T0L、 T0U、 T1L、 T1U、 T2L、 T2U、 T3L、 T3Uのよ うになりす。

注記 :各バンクに 2 つの PLL があ り、コン ト ローラーはインターフェイスが使用するすべてのバンクで PLL を 1 つ使用します。

1. dqs、 dq、 dm/dbi の配置

a. x8 または x16 コンポーネン ト を使用するデザイン ― dqs は U で識別される上位ニブル内の専用バイ ト クロ ッ ク ペア上に配置する必要があ り ます。dqs に対応する dq は、同じバイ ト レーン内の N1 と N12 を除いたピンのいずれかに配置します。

b. x4 コンポーネン ト を使用するデザイン ― dqs はニブル内の専用バイ ト ク ロ ッ ク ペア上に配置する必要があ り ます。 dqs に対応する dq は、 同じニブル内の N1 (下位ニブルの場合) と N12 (上位ニブルの場合) を除いたいずれかのピンに配置します。

c. dm/dbi は、 対応する dqs と同じバイ ト レーン内の N0 ピンに配置する必要があ り ます。

2. バイ ト レーンはデータまたはアドレス /制御のいずれかに設定します。

a. ピン N1 と N12 はデータ バイ ト レーン内でアドレス /制御用に使用できます。

b. アドレス /制御バイ ト レーン内にデータ信号 (dqs、 dq、 dm/dbi) は配置できません。

3. アドレス/制御は、 アドレス/制御バイ ト レーン内の 13 本のピンのいずれにも配置できます。 アドレス/制御は同じバンクに含める必要があり ます。 アドレス/制御は も中心寄りのバンク内に配置します。

4. 各バンクに 1 つの vr ピンがあ り、 DCI が必要です。 DCI カスケード接続は禁止です。 『UltraScale™ アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] に記載された DCI に関するすべての規則に従う必要があ り ます。

5. ck はバイ ト レーンの中央にあ り、 上位バイ ト ク ロ ッ ク ペアと呼ばれる PN ペアに配置する必要があ り ます。

6. reset_n は、 FPGA ロジッ クのタイ ミ ングおよび選択したバンクの I/O 規格を満たす限り、いずれのピンに配置してもかまいません。

7. バンクは 2 つのコン ト ローラーで共有できます。

a. 各バイ ト レーンは特定のコン ト ローラーが専用で使用します (reset_n を除く )。

b. 一方のコン ト ローラーのバイ ト レーンを他方のコン ト ローラーのバイ ト レーン内に配置するこ とはできません。 たとえば、 コン ト ローラー A と B がある場合、 AABB は可能ですが、 ABAB は禁止です。

8. メモ リ インターフェイスが使用するすべての I/O バンクは、 同じカラム内になければなり ません。

9. 144 ビッ ト幅インターフェイスの場合、 インターフェイスの高さは 大でバンク 5 つ分です。

10. バンク スキップは禁止です。

11. インターフェイス内のマスター PLL の入力クロ ッ クは、 メモ リ インターフェイスで使用する I/O カラム内のクロ ッ ク機能を備えたペアによって供給する必要があ り ます。

12. DDR4 で使用するバンク内の専用 VREF ピンは、500Ω の抵抗を介してグランドに接続する必要があ り ます。DDR4には内部 VREF が必要です。 詳細は、 『UltraScale™ アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] を参照してください。

13. インターフェイスは同じ I/O バンク タイプ (High Range または High Performance) に含む必要があ り ます。バンクタイプの混用は、 上記手順 6 の reset_n と手順 11 で説明した入力クロ ッ クを除いて禁止です。

Page 52: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 52PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

14. このインターフェイスは、 コマンドおよびアドレス パリティの par 入力と alert_n 入力/出力をサポート していません。これらのピンを使用しない場合の適切な接続方法については、 メモ リ ベンダーにお問い合わせください。

重要 : コンポーネン ト インターフェイスを構築する場合、そのインターフェイスで使用するコンポーネン トはすべて同じでなければな り ません。 x16 コンポーネン トのバンク グループ数は、 x8 コンポーネン ト と異な り ます。 たとえば、 72 ビッ ト幅のコンポーネン ト インターフェイスは、 x8 コンポーネン ト を 9 つ使用して構築するか、 5 つの x16コンポーネン ト を使用し、 う ち 1 つのコンポーネン トは半分を未使用と します。 4 つの x16 コンポーネン ト と 1 つのx8 コンポーネン トから作成するこ とはできません。

DDR4 ピン配置の例

表 4-20 に、 2 つのバンクに含まれる 32 ビッ トの DDR4 インターフェイスの例を示します。 これは、 4 x 8 DDR4 コンポーネン ト を使用するコンポーネン ト インターフェイスの例です。

表 4‐20 : 2 つのバンクに含まれる 32 ビッ トの DDR4 インターフェイス

バンク 信号名 バイ ト グループ I/O タイプ 特記

バンク 1

1 – T3U_12 – –

1 – T3U_11 N –

1 – T3U_10 P –

1 – T3U_9 N –

1 – T3U_8 P –

1 – T3U_7 N DBC-N

1 – T3U_6 P DBC-P

1 – T3L_5 N –

1 – T3L_4 P –

1 – T3L_3 N –

1 – T3L_2 P –

1 – T3L_1 N DBC-N

1 – T3L_0 P DBC-P

1 – T2U_12 – –

1 – T2U_11 N –

1 – T2U_10 P –

1 – T2U_9 N –

1 – T2U_8 P –

1 – T2U_7 N QBC-N

1 – T2U_6 P QBC-P

1 – T2L_5 N –

Page 53: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 53PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

1 – T2L_4 P –

1 – T2L_3 N –

1 – T2L_2 P –

1 – T2L_1 N QBC-N

1 – T2L_0 P QBC-P

1 reset_n T1U_12 – –

1 dq31 T1U_11 N –

1 dq30 T1U_10 P –

1 dq29 T1U_9 N –

1 dq28 T1U_8 P –

1 dqs3_n T1U_7 N QBC-N

1 dqs3_p T1U_6 P QBC-P

1 dq27 T1L_5 N –

1 dq26 T1L_4 P –

1 dq25 T1L_3 N –

1 dq24 T1L_2 P –

1 unused T1L_1 N QBC-N

1 dm3/dbi3 T1L_0 P QBC-P

1 vr T0U_12 – –

1 dq23 T0U_11 N –

1 dq22 T0U_10 P –

1 dq21 T0U_9 N –

1 dq20 T0U_8 P –

1 dqs2_n T0U_7 N DBC-N

1 dqs2_p T0U_6 P DBC-P

1 dq19 T0L_5 N –

1 dq18 T0L_4 P –

1 dq17 T0L_3 N –

1 dq16 T0L_2 P –

1 – T0L_1 N DBC-N

1 dm2/dbi2 T0L_0 P DBC-P

表 4‐20 : 2 つのバンクに含まれる 32 ビッ トの DDR4 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 54: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 54PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

バンク 2

2 a0 T3U_12 – –

2 a1 T3U_11 N –

2 a2 T3U_10 P –

2 a3 T3U_9 N –

2 a4 T3U_8 P –

2 a5 T3U_7 N DBC-N

2 a6 T3U_6 P DBC-P

2 a7 T3L_5 N –

2 a8 T3L_4 P –

2 a9 T3L_3 N –

2 a10 T3L_2 P –

2 a11 T3L_1 N DBC-N

2 a12 T3L_0 P DBC-P

2 a13 T2U_12 – –

2 we_n/a14 T2U_11 N –

2 cas_n/a15 T2U_10 P –

2 ras_n/a16 T2U_9 N –

2 act_n T2U_8 P –

2 ck_n T2U_7 N QBC-N

2 ck_p T2U_6 P QBC-P

2 ba0 T2L_5 N –

2 ba1 T2L_4 P –

2 bg0 T2L_3 N –

2 bg1 T2L_2 P –

2 pll refclk_n T2L_1 N QBC-N

2 pll refclk T2L_0 P QBC-P

2 cs_n T1U_12 – –

2 dq15 T1U_11 N –

2 dq14 T1U_10 P –

2 dq13 T1U_9 N –

表 4‐20 : 2 つのバンクに含まれる 32 ビッ トの DDR4 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 55: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 55PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

2 dq12 T1U_8 P –

2 dqs1_n T1U_7 N QBC-N

2 dqs1_p T1U_6 P QBC-P

2 dq11 T1L_5 N –

2 dq10 T1L_4 P –

2 dq9 T1L_3 N –

2 dq8 T1L_2 P –

2 odt T1L_1 N QBC-N

2 dm1/dbi1 T1L_0 P QBC-P

2 vr T0U_12 – –

2 dq7 T0U_11 N –

2 dq6 T0U_10 P –

2 dq5 T0U_9 N –

2 dq4 T0U_8 P –

2 dqs0_n T0U_7 N DBC-N

2 dqs0_p T0U_6 P DBC-P

2 dq3 T0L_5 N –

2 dq2 T0L_4 P –

2 dq1 T0L_3 N –

2 dq0 T0L_2 P –

2 cke T0L_1 N DBC-N

2 dm0/dbi0 T0L_0 P DBC-P

表 4‐20 : 2 つのバンクに含まれる 32 ビッ トの DDR4 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 56: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 56PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

プロ ト コルの説明このコアには、 次のインターフェイスがあ り ます。

• ユーザー インターフェイス

• ネイティブ インターフェイス

ユーザー インターフェイス

表 4-21 に示すユーザー インターフェイスは、 FPGA ユーザー デザインと接続して外部メモ リ デバイスへのアクセスを可能にします。

表 4‐21 :ユーザー インターフェイス

信号 方向 説明

app_addr[ADDR_WIDTH – 1:0] 入力 現在の要求のアドレスを示します。

app_cmd[2:0] 入力 現在の要求のコマンドを選択します。

app_en 入力app_addr[]、 app_cmd[2:0]、 app_sz、 および app_hi_pri 入力用のアクティブ High のス ト ローブ信号です。

app_rdy 出力

ユーザー インターフェイスでコマン ドの受信準備が整っている こ と を示す出力です。app_en がイネーブルのと きにディアサート された場合、app_rdy信号がアサート されるまで現在の app_cmd と app_addr を再送信する必要があ り ます。

app_hi_pri 入力 予約済み。 0 に接続して ください。

app_rd_data[APP_DATA_WIDTH – 1:0] 出力 読み出しコマンドからの出力データを提供します。

app_rd_data_end 出力現在のク ロ ッ ク サイ クルが app_rd_data[] の出力データの 後のサイ クルであるこ とを示す、 アクティブ High の出力です。

app_rd_data_valid 出力 app_rd_data[] が有効であるこ とを示す、 アクティブ High の出力です。

app_sz 入力 予約済み。 0 に接続して ください。

app_wdf_data[APP_DATA_WIDTH – 1:0] 入力 書き込みコマンドのデータを提供します。

app_wdf_end 入力現在のクロ ッ ク サイ クルが app_wdf_data[] の入力データの 後のサイ クルであるこ とを示す、 アクティブ High の入力です。

app_wdf_mask[APP_MASK_WIDTH – 1:0] 入力 app_wdf_data[] にマスクを提供します。

app_wdf_rdy 出力書き込みデータ FIFO でデータの受信準備が整っているこ とを示す出力です。書き込みデータが受け付けられるのは、 app_wdf_rdy = 1'b1 かつ app_wdf_wren = 1'b1 の場合です。

app_wdf_wren 入力 app_wdf_data[] のアクティブ High のス ト ローブ信号です。

app_sr_req 入力 予約済み。 0 に接続して ください。

app_sr_active 出力 予約済み。

app_ref_req 入力 予約済み。 0 に接続して ください。

app_ref_ack 出力 予約済み。

app_zq_req 入力 予約済み。 0 に接続して ください。

Page 57: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 57PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

app_addr[ADDR_WIDTH ‐ 1:0]

現在ユーザー インターフェイスに提示されている要求のアドレスを示す入力です。 ユーザー インターフェイスは外部 SDRAM の全アドレス フ ィールドを統合し、 フラ ッ ト アドレス空間を示します。

app_cmd[2:0]

現在ユーザー インターフェイスに提示されている要求のコマンドを指定する入力です。 表 4-22 に有効なコマンドを示します。

app_en

要求の入力ス ト ローブ信号です。app_addr[]、app_cmd[2:0]、および app_hi_pri に適切な値を適用した後、app_enをアサート してユーザー インターフェイスに要求を提示します。これによ り、app_rdy のアサートによってユーザーインターフェイスが肯定応答 (ACK) を返すハンドシェイ クが開始します。

app_wdf_data[APP_DATA_WIDTH – 1:0]

外部メモ リへ書き込みされているデータを提供するバスです。

app_wdf_end

現在のサイクルで app_wdf_data[] バス上にあるデータが、 現要求の 後のデータであるこ とを示す入力です。

app_wdf_mask[APP_MASK_WIDTH – 1:0]

app_wdf_data[] バスで外部メモ リに書き込むビッ ト と現在の状態を保持するビッ ト を指定するマスク信号です。

app_wdf_wren

app_wdf_data[] バスのデータが有効であるこ とを示す入力です。

app_zq_ack 出力 予約済み。

ui_clk 出力このユーザー インターフェイス ク ロ ッ クは、 DRAM ク ロ ッ クの 1/2 または 1/4 である必要があ り ます。

init_calib_complete 出力 キャ リブレーシ ョ ンが完了する と、 PHY によってアサート されます。

ui_clk_sync_rst 出力 アクティブ High のユーザー インターフェイス リセッ ト信号です。

表 4‐21 :ユーザー インターフェイス (続き)

信号 方向 説明

表 4‐22 : app_cmd[2:0] のコマンド

動作 app_cmd[2:0] コード

書き込み 000

読み出し 001

Page 58: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 58PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

app_rdy

現在ユーザー インターフェイスに提示されている要求が受け入れられたかど うかを示す出力です。app_en のアサート後にユーザー インターフェイスがこの信号をアサート しない場合は、現要求を再送信する必要があ り ます。次の場合、 app_rdy 出力はアサート されません。

° PHY/メモ リの初期化が完了していない

° バンク マシンがすべて使用されている (コマンド バッファー フルと見なすこ とが可能)

- 読み出し要求時に、 読み出しバッファーがフルである

- 書き込み要求時に、 書き込みバッファー ポインターがない

° 周期的読み出しが挿入されている

app_rd_data[APP_DATA_WIDTH – 1:0]

外部メモ リから読み出したデータを含む出力です。

app_rd_data_end

現在のサイクルの app_rd_data[] バスにあるデータが、 現要求の 後のデータであるこ とを示す出力です。

app_rd_data_valid

app_rd_data[] バスのデータが有効であるこ とを示す出力です。

app_wdf_rdy

書き込みデータ FIFO でデータの受信準備が整っているこ とを示す出力です。app_wdf_rdy と app_wdf_wren が両方共アサート される と、 書き込みデータが受信されます。

ui_clk_sync_rst

ユーザー インターフェイスからのリセッ ト信号で、 ui_clk に同期しています。

ui_clk

ユーザー インターフェイスからの出力クロ ッ クです。 このクロ ッ クの周波数は、 Vivado IDE で 2:1 または 4:1 モードのどちらを選択したかに応じて、 外部 SDRAM に送信されるクロ ッ クの 1/2 または 1/4 に設定する必要があ り ます。

init_calib_complete

キャ リブレーシ ョ ンが完了する と、 PHY によってアサート されます。アプリ ケーシ ョ ンは、 この信号を待たずにメモリ コン ト ローラーへコマンドを送信できます。

Page 59: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 59PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

コマンド パス

ユーザー ロジッ クの app_en 信号がアサート されてユーザー インターフェイスが app_rdy 信号をアサートする と、コマンドが受信されてユーザー インターフェイスによって FIFO へ書き込まれます。 app_rdy がディアサート される と、 コマン ドは常にユーザー インターフェ イ スで無視されます。 図 4-24 に示すよ う に、 ユーザー ロジッ クはapp_rdy 信号がアサート されるまで、有効なコマンド とアドレス値を示して app_en 信号を High に保持する必要があ り ます。

連続した書き込みコマンドは発行されません (図 4-25)。 この図では、 app_wdf_data、 app_wdf_wren、 および app_wdf_end の 3 つを示しています。

1. 書き込みデータは、 対応する書き込みコマンドに伴って現れます (BL8 の後半)。

2. 書き込みデータは、 対応する書き込みコマンドの前に現れます。

3. 書き込みデータは、対応する書き込みコマンドの後に現れますが、 クロ ッ クの 2 サイクルという制限を超えることはあ り ません。

イベン ト 3 に示すとおり (図 4-25)、 書き込みコマンドがレジスタ格納された後に出力される書き込みデータの場合、大遅延はクロ ッ クの 2 サイクルです。

X-Ref Target - Figure 4-24

図 4‐24 :ユーザー インターフェイスのコマンド タイ ミング図 (app_rdy 信号のアサート )

Page 60: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 60PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

書き込みパス

app_wdf_wren がアサート されて app_wdf_rdy が High になる と、 書き込みデータが書き込み FIFO に格納されます (図 4-26)。 app_wdf_rdy がディアサート された場合、 ユーザー ロジッ クは app_wdf_rdy がアサート されるまで、 有効な app_wdf_data 値を示すと共に app_wdf_wren と app_wdf_end を High に保持する必要があ り ます。app_wdf_mask 信号を使用し、 外部メモ リへ書き込むバイ ト をマスクできます。

X-Ref Target - Figure 4-25

図 4‐25 : 4:1 モードのユーザー インターフェイスにおける書き込みのタイ ミング図 (メモリ バースト タイプ = BL8)

Page 61: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 61PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

61 ページの図 4-24 に示すよ うに、 書き込みデータ と対応する書き込みコマンド間におけるシングル書き込みの 大遅延は、 クロ ッ クの 2 サイクルです。 連続して書き込みコマンドを発行している場合は、 書き込みデータ と対応する連続書き込みコマンド間に 大遅延はあ り ません (図 4-27)。

X-Ref Target - Figure 4-26

図 4‐26 : 4:1 モードのユーザー インターフェイスにおける連続書き込みコマンドのタイ ミング図 (メモリ バースト タイプ = BL8)

X-Ref Target - Figure 4-27

図 4‐27 : 4:1 モードのユーザー インターフェイスにおける連続書き込みコマンドのタイ ミング図 (メモリ バースト タイプ = BL8)

Page 62: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 62PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

メモ リへの書き込みバース トの 後を示すためには、 app_wdf_end 信号を使用します。 2:1 モードでメモ リ バースト タイプが 8 の場合、 2 番目の書き込みデータ ワードで app_wdf_end 信号がアサート される必要があ り ます。

アプ リ ケーシ ョ ン インターフェイス データの DRAM 出力データへのマップについては、サンプル デザインを使用して説明します。

8 ビッ ト メモ リのアプ リ ケーシ ョ ン インターフェイスでメモ リ コン ト ローラーと DRAM のク ロ ッ ク比が 4:1 の場合、 駆動される 64 ビッ ト データが 0000_0806_0000_0805 (Hex) のと き、 DRAM インターフェイスのデータは図 4-28のよ うになり ます。 これは、 BL8 (バース ト長 = 8) ト ランザクシ ョ ンです。

表 4-23 に、 クロ ッ ク エッジ別のデータ値を示します。

メモ リ コン ト ローラーと DRAM のクロ ッ ク比が 2:1 の場合、アプリ ケーシ ョ ン データ幅は 32 ビッ トです。したがって、BL8 ト ランザクシ ョ ンでは、アプリ ケーシ ョ ン インターフェイスのデータはクロ ッ クの 2 サイクルで現れなければなり ません。図 4-29 に示すよ うに、 app_wdf_end 信号が 2 つ目のデータに対してアサート されています。 この場合、 初のサイ クルに現れたアプ リ ケーシ ョ ン データは 0000_0405 (Hex) で、 後のサイ クルに現れたデータは0000_080A (Hex) です。 これは BL8 ト ランザクシ ョ ンです。

図 4-30 に、 DRAM インターフェイスにおける対応するデータを示します。

X-Ref Target - Figure 4-28

図 4‐28 : 4:1 モードの DRAM インターフェイスのデータ

表 4‐23 : クロック エッジ別のデータ値

Rise0 Fall0 Rise1 Fall1 Rise2 Fall2 Rise3 Fall3

05 08 00 00 06 08 00 00

X-Ref Target - Figure 4-29

図 4‐29 : 2:1 モードのアプリケーシ ョ ン インターフェイスのデータ

X-Ref Target - Figure 4-30

図 4‐30 : 2:1 モードの DRAM インターフェイスのデータ

Page 63: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 63PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

読み出しパス

読み出しデータは、 ユーザー インターフェイスを介して要求された順序で返され、 app_rd_data_valid がアサート される と きに有効になり ます (図 4-31 および図 4-32)。 app_rd_data_end 信号は、 各読み出しコマンド バース トの 後を示し、 ユーザー ロジッ クでは必要あ り ません。

図 4-32 では、 返された読み出しデータは、 アドレス /制御バスで要求された順序と常に同じになり ます。

X-Ref Target - Figure 4-31

図 4‐31 : 4:1 モードのユーザー インターフェイスにおける読み出しのタイ ミング図 (メモリ バースト タイプ = BL8)

X-Ref Target - Figure 4-32

図 4‐32 : 4:1 モードのユーザー インターフェイスにおける読み出しのタイ ミング図 (メモリ バースト タイプ = BL4 または BL8)

Page 64: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 64PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ネイテ ィブ インターフェイス

ネイティブ インターフェイスは FPGA ユーザー デザインと接続し、 外部のメモ リ デバイスへのアクセスを可能にします。

コマンド要求信号

ネイティブ インターフェイスは、 メモ リ コン ト ローラーから メモ リ デバイスへの読み出し /書き込みコマンドを要求する一連の信号を提供します。 表 4-24 に、 これらの信号を示します。

バンク、 行および列情報によって、 読み出し /書き込み先となる メモ リ デバイスのターゲッ ト アドレスが決定されます。 コマンドは、 コアへの cmd[2:0] 入力を使用して指定します。 表 4-25 に、 有効な読み出し /書き込みコマンドを示します。

accept

要求をコアが受け取ったこ とをユーザー デザインに示します。この信号がアサート された場合、 後のサイクルで要求されたコマンドが受信されたこ とを示します。 ユーザー デザインは次の要求を発行するか、 アイ ドル ステートへ遷移できます。 この信号がディアサート された場合は、 後のサイクルで要求されたコマンドが受信されなかったことを示し、 同じ要求を再送する必要があ り ます。

表 4‐24 : ネイテ ィブ インターフェイスのコマンド信号

信号 方向 説明

accept 出力後のサイ クルで駆動された要求を メモ リ インターフェ イ スが受け

取ったこ とを示します。

bank[2:0] (DDR3)、bank[1:0] (DDR4) 入力 現在の要求のバンクを選択します。

group (DDR4) 入力 現在の要求のバンク グループを選択します。

cmd[2:0] 入力 現在の要求のコマンドを選択します。

col[COL_WIDTH – 1:0] 入力 現在の要求の列アドレスを選択します。

data_buf_addr[7:0] 入力

メモ リ コン ト ローラーが次を実行する際のデータ バッファー アドレスを示します。

• 書き込みコマンド処理で、 データを見つける位置

• 読み出しコマンド処理で、 データを配置する位置

hi_priority 入力 予約済み。 ロジッ ク 0 に接続してください。

precharge 入力 コン ト ローラーのプリチャージ動作モードを設定します。

rank[] 入力 予約済み。 ロジッ ク 0 に接続してください。

row[ROW_WIDTH – 1:0] 入力 現在の要求の行アドレスを選択します。

use_addr 入力前ステートで駆動された要求情報が有効であるこ とを示すために、 ユーザー デザインはこの信号をス ト ローブします。

表 4‐25 : メモリ インターフェイス コマンド

動作 cmd[2:0] コード

メモ リの書き込み 000

メモ リの読み出し 001

予約済み その他すべてのコード

Page 65: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 65PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

use_addr

この信号は、 前サイ クルでネイティブ インターフェイスに与えられた要求をス ト ローブする場合に、 ユーザー デザインによってアサート されます。

data_buf_addr

ユーザー デザインには、読み出し /書き込みコマンドで使用されるデータを格納するバッファーが必要です。ネイティブ インターフェイスに要求が提示される と、 ユーザー デザインが要求を処理するバッファー内の位置を指示する必要があ り ます。書き込みコマンドの場合、data_buf_addr は外部メモ リへ書き込まれるソース データを含むバッファー内のアドレス とな り ます。 一方、 読み出しコマンドの場合は、 外部メモ リから読み出されたデータを受信するバッファー内のアドレス とな り ます。 要求の処理時に、 コアはこのアドレスをエコー バッ ク します。

プリチャージ

プリチャージ信号は、メモ リ コン ト ローラーの自動プリチャージ機能を ト ランザクシ ョ ンごとに制御して ト ランザクシ ョ ンを提供します。

次の 3 つの動作モードがあ り ます。

• precharge = 0 ― コン ト ローラーは常時開モードで動作します。 同じバンクのほかのページが開かれるまで、 現在のページが開かれたままになり ます。

• precharge = 1 ― コン ト ローラーは常時閉モードで動作します。 一部の特殊なアクセス パターンに効果的なモードです。

• precharge = ト ランザクシ ョ ンごと ― precharge = 1 の場合、 ト ランザクシ ョ ン後にページは閉じられ、 precharge= 0 の場合は開いたままになり ます。ネイティブ インターフェイスではト ランザクシ ョ ンごとの制御が可能です。

書き込みコマンド信号

ネイティブ インターフェイスには、 メモ リ コン ト ローラーが書き込みコマンドを処理する際に使用する信号があ ります (表 4-26)。 これらの信号は、ユーザー デザインのバッファーの制御、 アドレス、およびデータ信号へ接続しています。

wr_data

このバスは、 外部メモ リへ書き込まれるデータです。 ユーザー デザインのバッファーのデータ出力へ接続できます。

wr_data_addr

このバスは、 現在の書き込み要求が提示されたと きの data_buf_addr のエコーです。 wr_data_offset 信号と組み合わせて、 ユーザー デザインのバッファーのアドレス入力に適用できます。

表 4‐26 : ネイティブ インターフェイスの書き込みコマンド信号

信号 方向 説明

wr_data[2 x nCK_PER_CLK xPAYLOAD_WIDTH – 1:0] 入力 書き込みコマンドの入力データです。

wr_data_addr [DATA_BUF_ADDR_WIDTH – 1:0] 出力書き込みコマンドに対するソース データ バッファーのベース アドレスです。

wr_data_mask[2 x nCK_PER_CLK x DATA_WIDTH/8 – 1:0] 入力 書き込みデータのバイ ト イネーブル入力です。

wr_data_en 出力書き込みコマン ドに対して、 メモ リ インターフェイスがデータ バッファーからデータを読み出しているこ とを示す出力です。

wr_data_offset[0:0] 出力書き込みコマンドに対するソース データ バッファーのオフセッ ト値を示します。

Page 66: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 66PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

wr_data_mask

このバスは、 現時点で外部メモ リへ書き込まれているデータのバイ ト イネーブル (データ マスク ) です。 対応するwr_data_mask 信号がディアサート される と、 メモ リのバイ トが書き込まれます。

wr_data_en

この信号がアサート されている場合は、書き込みコマンドに対して、コアがユーザー デザインからデータを読み出しているこ とを示します。 これは、 ユーザー デザインのバッファーのチップ セレク ト信号へ接続できます。

wr_data_offset

このバスは、バース ト長が処理に 1 サイクル以上を必要とする と きに、データ バッファーに順にアクセスするために使用します。 wr_data_addr と組み合わせて、 ユーザー デザインのバッファーのアドレス入力へ適用できます。

読み出しコマンド信号

ネイティブ インターフェイスは、 メモ リ コン ト ローラーが読み出しコマンドを処理する際に使用する一連の信号を提供します (表 4-27)。 これらの信号は、 メモ リ デバイスからユーザー デザインのバッファーへデータを転送します。それ以外は書き込みコマンド処理の信号と同じです。

rd_data

このバスは、外部メモ リから読み出されたデータです。ユーザー デザイン内にあるバッファーのデータ入力へ接続できます。

rd_data_addr

このバスは、 現在の読み出し要求が提示されたと きの data_buf_addr のエコーです。 rd_data_offset 信号と組み合わせて、 ユーザー デザインのバッファーのアドレス入力に適用できます。

rd_data_en

読み出し要求に対して、 rd_data に有効な読み出しデータがあるこ とを示します。 ユーザー デザインのバッファーのチップ セレク トおよび書き込みイネーブル信号に接続できます。

rd_data_offset

このバスは、バース ト長が処理に 1 サイクル以上を必要とする と きに、データ バッファーに順にアクセスするために使用します。rd_data_addr 信号と組み合わせて、ユーザー デザインのバッファーのアドレス入力へ適用できます。

表 4‐27 : ネイテ ィブ インターフェイスの読み出しコマンド信号

信号 方向 説明

rd_data[2 x nCK_PER_CLK x PAYLOAD_WIDTH – 1:0] 出力 読み出しコマンドからの出力データです。

rd_data_addr[DATA_BUF_ADDR_WIDTH – 1:0] 出力読み出しコマンドに対する、 デスティネーシ ョ ンバッファーのベース アドレスを示す出力です。

rd_data_en 出力rd_data バスに有効な読み出しデータがある ことを示します。

rd_data_offset[1:0] 出力読み出しコマンドに対する、 デスティネーシ ョ ンバッファーのオフセッ ト値を示します。

Page 67: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 67PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ネイテ ィブ インターフェイスのメンテナンス コマンド信号

表 4-28 に、 ネイティブ インターフェイスのメンテナンス コマンド信号を示します。

app_ref_req

予約済みです。 0 に接続してください。

app_ref_ack

予約済みです。

app_zq_req

予約済みです。 0 に接続してください。

app_zq_ack

予約済みです。

表 4‐28 : ネイテ ィブ インターフェイスのメンテナンス コマンド信号

信号 方向 説明

app_sr_req 入力 予約済み。 0 に接続してください。

app_sr_active 出力 予約済み。

app_ref_req 入力 予約済み。 0 に接続してください。

app_ref_ack 出力 予約済み。

app_zq_req 入力 予約済み。 0 に接続してください。

app_zq_ack 出力 予約済み。

Page 68: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 68PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

ネイテ ィブ インターフェイス プロ ト コル

図 4-33 に、 ネイティブ インターフェイス プロ ト コルを示します。

アドレスおよびコマンドによって要求がネイティブ インターフェイスに現れます。 アドレスには、バンク、行、列の情報が含まれています。 コマンドは、 cmd 入力でエンコード されています。

アドレスおよびコマンドは、 use_addr 信号で有効になる 1 ステート前にネイティブ インターフェイスに現れます。メモ リ インターフェイスは accept 信号をアサートするこ とで要求を受け入れ可能であるこ とを示します。use_addr と accept の両方が同じク ロ ッ ク サイ クルでアサート された場合は、 要求が受け入れられたこ とを示します。 use_addr はアサート されているが accept がアサート されていない場合、要求は受け入れられていないため、再度実行する必要があ り ます。 図 4-34 に、 これらの信号のタイ ミ ングを示します。

図 4-34 に示すよ うに、 要求 1 および要求 2 は問題なく受け入れられています。 1 回目の要求 3 に対しては accept がLow に駆動されているため、 受け入れられていないこ とを示しています。 ユーザー デザインが 2 回目に要求 3 を送信したと きには、 受け入れられています。 その後の要求 4 は、 1 回目の送信で受け入れられています。

X-Ref Target - Figure 4-33

図 4‐33 : ネイテ ィブ インターフェイス プロ ト コル

X-Ref Target - Figure 4-34

図 4‐34 : ネイテ ィブ インターフェイスのフロー制御

Page 69: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 69PG150 2014 年 4 月 2 日

第 4 章 : コアを使用するデザイン

要求の送信と同時に、 data_buf_addr バスが必要です。 このバスはユーザー デザインにあるバッファーのアドレス ポインターとなり ます。 これは、 書き込みコマンドを処理する際にデータの位置をコアに伝え、 読み出しコマンドを処理す る 際はデー タ を配置す る 位置を伝え ま す。 コ アが コ マ ン ド を処理す る 際、 書 き 込み コ マ ン ド ではwr_data_addr、 読み出しコマンドでは rd_data_addr によ り、 data_buf_addr をユーザー デザインにエコー バック します。 図 4-35 に、 これらの信号のタイ ミ ングを示します。 書き込みデータは、 wr_data_en がアサート されるクロ ッ ク サイ クルで供給される必要があ り ます。

転送は非アクテ ィブなギャ ップで分離した り、 ギャ ップのない長いバース ト にできます。 ユーザー デザインは、rd_data_en 信号および wr_data_en 信号をモニタ リ ングするこ とで、 要求が処理中であるこ と、 および完了したこ とを認識できます。 メモ リ コン ト ローラーが読み出しコマンド要求の処理を完了する と、 rd_data_en 信号がアサート されます。 同様に、 書き込みコマンド要求の処理を完了する と、 wr_data_en 信号がアサート されます。

NORM 順序変更モードが有効の場合は、 メモ リ コン ト ローラーが受信した要求を並べ替え、 FPGA と メモ リ デバイス間のスループッ ト を 適化します。 データは、 受信順ではな く処理された順にユーザー デザインへ返されます。ユーザー デザインは、 rd_data_addr および wr_data_addr をモニタ リ ングするこ とで、 処理中の要求を特定できます。 これらのフ ィールドは、 ユーザー デザインがネイティブ インターフェイスへ要求を送信する際に供給される data_buf_addr に対応します。 図 4-35 に、 これらの信号のタイ ミ ング関係を示します。

ネイティブ インターフェイスでは、 ユーザー デザインは一度に 1 つの要求しか送信できないよ うにインプ リ メ ン トされているため、複数の要求は順次送信する必要があ り ます。同様に、 コアはメモ リ デバイスへの複数のコマンドを一度に 1 つずつ実行する必要があ り ます。 ただし、 コア インプ リ メ ンテーシ ョ ンのパイプライン化によって、 ネイティブ インターフェイスでは読み出し要求と書き込み要求を並列処理できます。

X-Ref Target - Figure 4-35

図 4‐35 : コマンド処理

Page 70: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 70PG150 2014 年 4 月 2 日

第 5章

デザイン フローの手順この章では、 コアのカスタマイズ、 生成、 制約、 およびこの IP コアに特有のシ ミ ュレーシ ョ ン、 合成、 インプ リ メンテーシ ョ ンの手順について説明します。 Vivado® IP インテグレーターを使用した標準的な Vivado デザイン フローの詳細は、 次の Vivado Design Suite ユーザー ガイ ドに記載されています。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7]

コアのカスタマイズと生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

IP インテグレーターを使用したコアのカスタマイズおよび生成については、『Vivado Design Suite ユーザー ガイ ド : IPインテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。IP インテグレーターは、デザインの検証または生成時に、一部の設定値を自動的に計算する場合があ り ます。値の変化の有無を確認するには、この章のパラ メーターの説明を参照してください。パラ メーター値を確認するには、Tcl コンソールから validate_bd_design コマンドを実行してください。

Vivado 統合設計環境 (IDE)

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] および 『Vivado Design Suite ユーザー ガイ ド : スタート アップ ガイ ド』 (UG910) [参照 6] を参照してください。

注記 : この章の図には、 Vivado 統合設計環境 (IDE) のスク リーン シ ョ ッ トが使用されていますが、 現行バージ ョ ンとレイアウ トが異なる場合があり ます。

Page 71: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 71PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

コン ト ローラー オプシ ョ ン

図 5-1 に、 MIG を起動したと きに表示されるウェルカム画面を示します。

Vivado IDE では、 すべてのコン ト ローラー (DDR3、 DDR4、 QDR II+、 RLDRAM 3) を生成およびインスタンシエートできます。

IP インテグレーターで生成できるコン ト ローラーのインスタンスを 1 つのみで、インスタンシエーシ ョ ンに使用できるコン ト ローラーは次の 2 種類のみです。

• DDR3

• DDR4

1. この リ リースでは [Copy] および [Delete] を使用できません。

2. [Add] でコン ト ローラーを追加し、 [Next] をク リ ッ クする とそのコン ト ローラーが有効化されます。 この例では、DDR4 コン ト ローラーを使用します (図 5-2)。

3. [Clocking]、 [Controller Options]、 [Memory Options] をそれぞれ設置します。

X-Ref Target - Figure 5-1

図 5‐1 : Vivado [Cutomize IP] ダイアログ ボックス ― ウェルカム画面

Page 73: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 73PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

4. 図 5-3 に、 [Common] と呼ばれる次のダイアログ ボッ クスを示します。 こ こには、 特定のコン ト ローラーに対する [FPGA Options]、 [Debug Signals for controller]、 [Clock Options] の設定が表示されます。

重要 : この リ リースでは、コン ト ローラー オプシ ョ ンのダイアログ ボッ クスに表示されるパラ メーター選択オプシ ョンに制限があ り ます。

MIG I/O プランニング

MIG I/O プランニングでは、 コン ト ローラーのピン割り当てを変更するオプシ ョ ンが選択できます。 また、 使用可能な I/O ポート、 割り当て済みの I/O ポート、 その他のリ ソース サマリ も表示されます。

ピン割り当てには、 次の 2 つの方法を使用できます。

• [Bank Planning]

• [Pin Planning]

X-Ref Target - Figure 5-3

図 5‐3 : Vivado [Cutomize IP] ダイアログ ボックス ― Common

Page 74: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 74PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

図 5-4 に、 [Bank Planning] ダイアログ ボッ ク スを示します。 [Basic I/O Planning] の I/O バンク名をク リ ッ ク して、[Memory Byte Group] と [Bank Type] の設定を表示します。

X-Ref Target - Figure 5-4

図 5‐4 : Vivado [Cutomize IP] ダイアログ ボックス ― Bank Planning

Page 75: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 75PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

図 5-5 に、 エラー発生時の [Bank Planning] ダイアログ ボッ クスを示します。

バンク割り当てのダイアログでは、 バンクのバイ ト を信号グループに割り当てる こ とができます。 第 1 レベルではMIG で使用できる I/O バンクのリ ス トが表示されます。各バンク内に、使用可能なすべてのバイ ト グループが表示されます。 規則に違反した場合、 選択したオプシ ョ ンの色が変化し、 ダイアログ ボッ クス下部のログ ウ ィンド ウに警告メ ッセージが表示されます。

重要 : 今後のリ リースでは、 バンク /バイ ト割り当てを変更するビューも追加される予定です。

X-Ref Target - Figure 5-5

図 5‐5 : Vivado [Cutomize IP] ダイアログ ボックス ― [Bank Planning] のエラー

Page 76: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 76PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

図 5-6 に、 [Pin Planning] のダイアログ ボッ クスを示します。 この方法では、 MIG I/O Planner を実行します。 ピンプランナーが提供する各種方法で、 ピンを入れ替えたり、 ピンを新たに割り当てたりするこ とができます。

X-Ref Target - Figure 5-6

図 5‐6 : Vivado [Cutomize IP] ダイアログ ボックス ― Pin Planning

Page 77: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 77PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

図 5-7 に、 設定済みの [FPGA Options] および [Controller Options] を含む [MIG Configuration Summary] ダイアログボッ クスを示します。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

コアへの制約こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

MIG Vivado IDE は必須の制約を生成します。ロケーシ ョ ン制約および I/O 規格制約がデザインの各外部ピンに追加されます。 ロケーシ ョ ンはデザインで選択したバンク とバイ ト レーンに従って、 Vivado IDE が選択します。

X-Ref Target - Figure 5-7

図 5‐7 : Vivado [Cutomize IP] ダイアログ ボックス ― MIG Configura on Summary

Page 78: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 78PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

I/O 規格は、 メモ リ タイプの選択と Vivado IDE 内のオプシ ョ ン、 およびピン タイプに応じて選択されます。 dq[0] の例を次に示します。

set_property PACKAGE_PIN AF20 [get_ports "c0_ddr4_dq[0]"]set_property IOSTANDARD POD12_DCI [get_ports "c0_ddr4_dq[0]"]

DDR4 では常に内部 VREF が使用されます。DDR3 の場合、内部 VREF の使用は任意です。DDR4 の例を次に示します。

set_property INTERNAL_VREF 0.600 [get_iobanks 45]

注記 : この制約に記述された VREF の値は使用しません。初期値は 0.84V に設定されます。キャ リブレーシ ョ ン ロジックは、 インターフェイスの性能を 大にするために、 必要に応じてこの電圧を調整します。

システム ク ロ ッ クの周期を適切に設定する必要があ り ます。

create_clock -name c0_sys_clk -period.938 [get_ports c0_sys_clk_p]

重要 : これらの制約は変更しないでください。 ピン配置の変更が必要な場合、 MIG Vivado IDE を再実行して新しいXDC ファ イルを生成して ください。

デバイス、 パッケージ、 スピード グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

ク ロ ッキングの詳細は、 23 ページの 「ク ロ ッキング」 を参照して ください。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバー配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

Vivado IDE で選択したインターフェイスのタイプおよびオプシ ョ ン設定に従い、MIG ツールが適切な I/O 規格制約とロケーシ ョ ン制約を生成します。

Page 79: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 79PG150 2014 年 4 月 2 日

第 5 章 :デザイン フローの手順

シ ミ ュレーシ ョ ンこ こでは、 Vivado Design Suite で IP シ ミ ュレーシ ョ ンを実行する方法について説明します。 Vivado シ ミ ュレーシ ョ ンコンポーネン トについて、またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザーガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照してください。

合成とインプリ メンテーシ ョ ンこ こでは、 Vivado Design Suite で合成およびインプ リ メ ンテーシ ョ ンを実行する方法について説明します。 合成と インプリ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 80: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 80PG150 2014 年 4 月 2 日

第 6章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

Vivado は Open IP Example Design フローをサポート しています。このフローを使用してサンプル デザインを作成するには、 図 6-1 に示すとおり [Sources] ビューの IP を右ク リ ッ ク して、 [Open IP Example Design] をク リ ッ ク します。

このオプシ ョ ンでは新しい Vivado プロジェク トが作成されます。 メニューを選択する と、 新規デザイン プロジェクト を格納するディ レク ト リ情報を入力するダイアログ ボッ クスが表示されます。

ディ レク ト リ を選択して (またはデフォルト を使用) [OK] をク リ ッ ク します。 これによ り、 すべてのサンプル デザイン ファ イルと IP のコピーを含む新しい Vivado プロジェク トが起動します。 このプロジェク トでは、 example_topがインプ リ メンテーシ ョ ンの 上位ディ レク ト リ、 sim_tb_top がシ ミ ュレーシ ョ ンの 上位ディレク ト リです (図 6-2)。

X-Ref Target - Figure 6-1

図 6‐1 : Open IP Example Design

Page 81: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 81PG150 2014 年 4 月 2 日

第 6 章 :サンプル デザイン

サンプル デザインのシミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン)サンプル デザインは、 メモ リ コン ト ローラー駆動用の固定された単純なデータ パターンを生成する、 合成可能なテス トベンチを提供します。 このテス トベンチは IP ラ ッパー、 および書き込みと読み出しをそれぞれ 10 回ずつ生成する example_tb から構成されます。 IP からデザインを生成する際に、 メモ リ モデル ファ イルは生成されません。メモ リ モデルは Micron® 社のウェブサイ トからダウンロードする必要があ り ます。

重要 : ザイ リ ンクス® UNISIMS_VER および SECUREIP ライブラ リ をシ ミ ュレータにマッピングする必要があり ます。

シ ミ ュレーシ ョ ンは、 次のディ レク ト リから実行します。

<project_dir>/example_project/<Component_Name>example/<Component_Name>_example.srcs/sim_1/imports/<Component_Name>/tb

Vivado IDE の [Component Name] に 「mig_0」 と入力して MIG デザインを生成した場合、 シ ミ ュレーシ ョ ンのディ レク ト リ パスは次のよ うにな り ます。

<project_dir>/example_project/mig_0_example/mig_0_example.srcs/sim_1/imports/mig_0/tb

MIG は DDR3 のメモ リ モデルは提供しますが、 DDR4 のメモ リ モデルは提供しません。 DDR4 については、 上記のディ レ ク ト リ にある メモ リ モデルをコピーして ください。 シ ミ ュレーシ ョ ンの実行方法は、 フォルダー内にあるreadme.txt ファ イルを参照してください。

X-Ref Target - Figure 6-2

図 6‐2 :サンプル デザインのプロジェク ト

Page 82: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 82PG150 2014 年 4 月 2 日

第 6 章 :サンプル デザイン

ソフ ト ウェア リ リースご とに、 Questa® SIM、 IES、 VCS シ ミ ュレーシ ョ ン ツールを使用して MIG IP が検証されます。 Questa SIM、 IES、 VCS でシ ミ ュレーシ ョ ンを実行するためのスク リプ ト ファ イルは、 MIG の出力内に生成されます。 MIG デザインは Vivado シ ミ ュレータでは検証されていません。 MIG IP のシ ミ ュレーシ ョ ンにはその他のシミ ュレーシ ョ ン ツールも使用できますが、 ザイ リ ンクスでは特にこれらを検証していません。

Page 83: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 83PG150 2014 年 4 月 2 日

第 7章

テス トベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

性能テス トベンチの目的は、特定のト ラフ ィ ッ ク パターンに対する MIG コン ト ローラーの効率を見積もるこ とです。テス トベンチはユーザーが入力したコマンド とアドレスを メモ リ コン ト ローラーに渡し、特定のパターンに対する効率を測定します。 効率は dq バスの占有率と して測定されます。 テス トベンチの主な用途は効率の測定であるため、データ整合性チェッ クは実行されません。書き込みト ランザクシ ョ ンで静的なデータがメモ リに書き込まれ、常に同じデータが読み戻されます。

ト ラフ ィ ッ ク ジェネレーターに対するスティ ミ ュラスは、mig_v5_0_ddr4_stimulus.txt ファ イルで供給されます。 スティ ミ ュラスは、 コマンド、 アドレス、 コマンド繰り返し回数から構成されます。 スティ ミ ュラス ファ イルの各行が、 1 つのスティ ミ ュラス (コマンド繰り返し、 アドレス、 コマンド ) に対応します。 スティ ミ ュラス ファ イルには複数のスティ ミ ュラスを指定でき、 それぞれは改行で区切り ます。

表 7‐1 :パフォーマンス ト ラフ ィ ック ジェネレーターのモジュール

ファイル名 説明

mig_v5_0_ddr4_traffic_generator.sv DDR4 用の ト ラ フ ィ ッ ク を送信する ト ラ フ ィ ッ ク ジェネレーターのコード とバス使用率を計算するコードが記述されています。

mig_v5_0_ddr4_stimulus.txt バス使用率を計算するための DDR4 用の書き込み、 読み出し、 NOP を含むスティ ミ ュラスが記述されています。

mig_v5_0_ddr3_traffic_generator.sv DDR3 用の ト ラ フ ィ ッ ク を送信する ト ラ フ ィ ッ ク ジェネレーターのコード とバス使用率を計算するコードが記述されています。

mig_v5_0_ddr3_stimulus.txt バス使用率を計算するための DDR3 用の書き込み、 読み出し、 NOP を含むスティ ミ ュラスが記述されています。

Page 84: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 84PG150 2014 年 4 月 2 日

第 7 章 : テストベンチ

ステ ィ ミ ュラス パターン各スティ ミ ュラス パターンは 48 ビッ トです。 表 7-2 と表 7-3 にそのフォーマッ ト を示します。

コマンドのエンコード (Command[3:0])

アドレスのエンコード (Address[35:0])

スティ ミ ュ ラス内のアドレスは、 図 7-1 ~図 7-6 に従ってエンコード されます。 すべてのアドレス フ ィールドを 16進数フォーマッ トで入力する必要があ り ます。 16 進数フォーマッ トで入力できるよ うに、 アド レス フ ィールドの幅はすべてが 4 で割り切れます。テス トベンチは、アドレス フ ィールド内の必要なビッ トだけを メモ リ コン ト ローラーに送信します。

たとえば、 8 バンク構成の場合、 バンク ビッ ト [2:0] だけがメモ リ コン ト ローラーに送信され、 残りのビッ トは無視されます。 アドレス フ ィールドの余分なビッ トは、 アドレスを 16 進数フォーマッ トで入力するためのものです。 入力する値が、 目的とする構成の幅に対応しているこ とを確認してください。

• 列アドレス (Column[11:0]) ― スティ ミ ュラス内の列アドレスは 大 12 ビッ トです。 ただし、 デザインに設定した列アドレス幅パラ メーターに基づくアドレス指定とする必要があ り ます。

• 行アドレス (Row[15:0]) ― スティ ミ ュラス内の行アドレスは 大 16 ビッ トです。 ただし、 デザインに設定した行アドレス幅パラ メーターに基づくアドレス指定とする必要があ り ます。

• バンク アドレス (Bank[3:0]) ― スティ ミ ュラス内のバンク アドレスは 大 4 ビッ トです。ただし、デザインに設定したバンク アドレス幅パラ メーターに基づくアドレス指定とする必要があ り ます。

注記 : DDR4 の場合、 バンク アドレスに下位 2 ビッ ト 、 バンク グループに上位 2 ビッ ト を使用します。

• ランク アドレス (Rank[3:0]) ― スティ ミ ュラス内のバンク アドレスは 大 4 ビッ トです。ただし、デザインに設定したランク アドレス幅パラ メーターに基づくアドレス指定とする必要があ り ます。

表 7‐2 : ステ ィ ミ ュラス コマンド パターン

Command Repeat[47:40] Address [39:4] Command[3:0]

表 7‐3 : ステ ィ ミ ュラス パターンの説明

信号 説明

Command[3:0] ユーザー インターフェイスに送信される WREITE/READ/NOP コマンドに対応します。

Address[35:0] ユーザー インターフェイスに送信されるアドレスに対応します。

Command Repeat[7:0]コマンドの繰り返し回数に対応します。 1 つのコマンドは、 大 128 回繰り返すこ とができます。 バース ト長 8 モードの場合、 メモ リの 1 ページは 128 回のト ランザクシ ョ ンで完全に満たされます。

表 7‐4 : コマンドの説明

コマンド コード 説明

WRITE 0 書き込み動作を実行します。

READ 1 読み出し動作を実行します。

NOP 7 バスのアイ ドル状態に対応します。

表 7‐5 : アドレス エンコード

Rank[3:0] Bank[3:0] Row[15:0] Column[11:0]

Page 85: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 85PG150 2014 年 4 月 2 日

第 7 章 : テストベンチ

アドレスは 上位の MEM_ADDR_ORDER パラ メーターに基づいて組み立てられ、 ユーザー インターフェイスに送信されます。

コマンド繰り返し (Command Repeat[7:0])

コマンド繰り返し回数とは、ユーザー インターフェイスに送信する各コマンドの反復回数です。繰り返すごとにアドレスは 8 ずつインク リ メン ト します。 大繰り返し回数は 128 です。 テス トベンチは列アドレスの境界を確認せず、その上限に達する と ラ ップアラウンド します。 128 回のコマンドによってページ全体がアクセスされます。 0 以外の列アドレスを指定して 128 回コマンドを繰り返すと必ず列の境界を超えるため、列アドレスはラ ップアラウンド して先頭に戻り ます。

バス使用率バス使用率は、読み出しおよび書き込みの全回数を考慮し、次の式を使用してユーザー インターフェイスで計算されます。

((rd_command_cnt + wr_command_cnt) × (BURST_LEN / 2) × 100) 式 7‐1

bw_cumulative = ‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐‐

((end_of_stimulus – calib_done) / tCK);

• BL8 には 4 メモ リ ク ロ ッ ク サイクルが必要です。

• end_of_stimulus はすべてのコマンドが完了した時点を表します。

• calib_done はキャ リブレーシ ョ ンが完了した時点を表します。

Page 86: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

第 7 章 : テストベンチ

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 86PG150 2014 年 4 月 2 日

サンプル パターン次の例は、 MEM_ADDR_ORDER を BANK_ROW_COLUMN に設定した場合です。

単一読み出しパターン

00_0_2_000F_00A_1 ― このパターンは、 第 10 列、 第 15 行、 第 2 バンクからの単一読み出しです。

単一書き込みパターン

00_0_1_0040_010_0 ― このパターンは、 第 32 列、 第 128 行、 第 1 バンクへの単一書き込みです。

X-Ref Target - Figure 7-1

図 7‐1 :単一読み出しパターン

X-Ref Target - Figure 7-2

図 7‐2 :単一書き込みパターン

Page 87: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

第 7 章 : テストベンチ

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 87PG150 2014 年 4 月 2 日

同一アドレスに対する単一書き込みおよび読み出し

00_0_2_000F_00A_0 ― このパターンは、 第 10 列、 第 15 行、 第 2 バンクへの単一書き込みです。

00_0_2_000F_00A_1 ― このパターンは、 第 10 列、 第 15 行、 第 2 バンクからの単一読み出しです。

同一アドレスによる複数書き込みおよび読み出し

0A_0_0_0010_000_0 ― このパターンは、 列内にある 0 から始ま り 80 までのアドレスに対する 10 回の書き込みに相当します。

X-Ref Target - Figure 7-3

図 7‐3 :同一アドレスに対する単一書き込みおよび読み出し

X-Ref Target - Figure 7-4

図 7‐4 :同一アドレスによる複数書き込み

Page 88: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

第 7 章 : テストベンチ

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 88PG150 2014 年 4 月 2 日

0A_0_0_0010_000_1 ― このパターンは、 列内にある 0 から始ま り 80 までのアドレスに対する 10 回の読み出しに相当します。

書き込み中のページ ラ ップ

0A_0_2_000F_3F8_0 ― このパターンは、 1 回の書き込み後に列アドレスがページ先頭にラ ップする 10 回の書き込みに相当します。

X-Ref Target - Figure 7-5

図 7‐5 :同一アドレスによる複数読み出し

X-Ref Target - Figure 7-6

図 7‐6 :書き込み中のページ ラップ

Page 89: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 89PG150 2014 年 4 月 2 日

第 7 章 : テストベンチ

パフォーマンス ト ラフ ィ ッ ク ジェネレーターのシミ ュレーシ ョ ン1. ザイ リ ンクスの UNISIMS_VER および SECUREIP ラ イブラ リ をシ ミ ュレータにマッピングします。

2. MIG は DDR3 のメモリ モデルは提供しますが、 DDR4 のメモ リ モデルは提供しません。 DDR4 については、 次のディ レク ト リから メモ リ モデルをコピーしてください。

<project_dir>/example_project/<Component_Name>example/<Component_Name>_example.srcs/sim_1/imports/<Component_Name>/tb

3. DDR4 の場合は mig_v5_0_ddr4_stimulus.txt、 DDR3 の場合は mig_v5_0_ddr3_stimulus.txt を、 必要なバス使用率を求めるスティ ミ ュラスに変更します。 これらのファイルは、 次のディ レク ト リにあ り ます。

<project_dir>/example_project/<Component_Name>example/<Component_Name>_example.srcs/sim_1/imports/<Component_Name>/tb

4. performance_sim.do ファ イルを実行します。

5. Questa® SIM の場合、 vsim -do performance_sim.do コマンドを実行します。

6. 実行後 tb ディレク ト リには、 バス使用率の測定基準についての出力すべてを含む、mig_v5_0_ddr4_band_width_cal.txt (DDR4 の場合) と mig_v5_0_ddr3_band_width_cal.txt (DDR3 の場合) が格納されます。

Page 91: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 91PG150 2014 年 4 月 2 日

第 8章

概要ザイ リ ンクス UltraScale™ アーキテクチャには、QDR II+ SRAM メモ リ インターフェイス ソ リ ューシ ョ ン (MIS) コアが含まれます。MIS コアは、QDR II+ SRAM タイプのメモ リに接続するためのインターフェイス ソ リ ューシ ョ ンを提供します。

QDR II+ SRAM メモ リ インターフェイス ソ リ ューシ ョ ンは、ザイ リ ンクス UltraScale FPGA を使用したユーザー デザインと QDR II+ SRAM デバイスのインターフェイス となる物理層です。QDR II+ SRAM は、 クロ ッ クの立ち上がりおよび立ち下がりの両エッジで独立した読み出しバス と書き込みバスを使用する、高速なデータ転送が可能です。 このメモ リ デバイスは、 高性能システムで次のよ うな一時的なデータ ス ト レージと して使用されます。

• ネッ ト ワーク システムのルッ クアップ テーブル

• ネッ ト ワーク スイ ッチのパケッ ト バッファー

• 高速演算のキャッシュ メモ リ

• 高性能テスターのデータ バッファー

QDR II+ SRAM ソ リ ューシ ョ ン コアは、 シンプルなユーザー コマンドを受信して QDR II+ プロ ト コルに変換し、 変換後のコマンドを メモ リに送信する PHY です。 1 サイ クルで読み出しおよび書き込み要求を 1 回ずつ発行できるため、 メモ リ コン ト ローラーとそれに伴うオーバーヘッ ドが不要で、 コア内部のレイテンシが削減されます。

Page 92: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 92PG150 2014 年 4 月 2 日

第 8 章 :概要

図 8-1 に、 QDR II+ SRAM インターフェイス ソ リ ューシ ョ ンの概略ブロ ッ ク図を示します。

物理層には FPGA 内のハード ブロッ ク と、それらハード ブロ ッ ク と メモ リ デバイス間で 適なインターフェイス タイ ミ ングを確保するために使用されるソフ ト キャ リブレーシ ョ ン ロジッ クが含まれます。

ハード ブロ ッ クには次の機能があ り ます。

• データのシ リアライズと送信

• データのキャプチャ とデシ リ アライズ

• 高速クロ ッ クの生成と同期

• 電圧および温度のト ラ ッキング機能を備えた、 ピンごとの粗精度/細精度の遅延調整エレ メン ト

ソフ ト ブロ ッ クには次の機能があ り ます。

• メモ リ初期化 ― キャ リブレーシ ョ ン モジュールは、 メモ リ タイプ固有の初期化ルーチンを提供します。必要に応じて初期化プロセスの遅延をバイパスしてシ ミ ュレーシ ョ ン時間を短縮できます。

• キャ リブレーシ ョ ン ― キャ リブレーシ ョ ン モジュールは、ハード ブロ ッ ク内のすべての遅延を設定し、 ソフ トIP がメモ リ インターフェイスで適切に動作するよ うにする包括的な方法を提供します。 インターフェイス性能を 適化するために、各ビッ ト を個別に ト レーニングしてから結合します。キャ リブレーシ ョ ン プロセスの結果はザイ リ ンクスのデバッグ ツールで確認できます。 キャ リブレーシ ョ ンの完了後、 PHY 層はメモリ デバイスへの raw (未調整) インターフェイスを提供します。

X-Ref Target - Figure 8-1

図 8‐1 : QDR II+ インターフェイス ソリューシ ョ ンの概略ブロック図

Page 93: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 93PG150 2014 年 4 月 2 日

第 8 章 :概要

機能一覧• 36 ビッ ト幅インターフェイスをサポート

• x18 および x36 のメモ リ デバイスをサポート

• 4 ワードおよび 2 ワード バース ト をサポート

• 2.0 ~ 2.5 サイクルの読み出しレイテンシをサポート

• ソース コードは Verilog で提供

• 2:1 のメモリ対 FPGA ロジッ ク インターフェイスのクロ ッ ク比をサポート

ライセンスおよび注文情報このザイ リ ンクス LogiCORE IP モジュールは、ザイ リ ンクス Vivado Design Suite に無償で含まれ、ザイ リ ンクス エンド ユーザー ライセンス規約に同意も元で使用できます。この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado® デザイン ツールでは、 設計フローにライセンスが必要な IP の使用を確認する、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ラ イセンスチェッ クポイン トが適用されるのは、 次のツールです。

• Vivado デザイン ツール : Vivado 合成

• Vivado インプリ メンテーシ ョ ン

• write_bitstream (Tcl コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

Page 94: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 94PG150 2014 年 4 月 2 日

第 9章

製品仕様

規格このコアは、 QDR コンソーシアムが定義する QDR II+ SRAM 規格に準拠しています。 UltraScale™ アーキテクチャに関する資料の詳細は、 158 ページの 「参考資料」 を参照してください。

性能

最大周波数

大周波数の詳細は、『Kintex UltraScale アーキテクチャ データシート : DC および AC スイ ッチ特性』 (DS892) [参照 2]を参照してください。

リソース使用量

Kintex UltraScale デバイス

表 9-1 に、 Kintex® UltraScale™ デバイスのリ ソース概数を示します。

Kintex UltraScale デバイスの場合に UltraScale アーキテクチャ FPGA MIS コアに必要な リ ソースを見積も り ました。Vivado® IP カタログを使用して得られた値です。 合成後レポートから求めた値であ り、 インプリ メンテーシ ョ ン時に変化する可能性があ り ます。

表 9‐1 :デバイス使用量 ― Kintex UltraScale FPGA

パラメーター値 デバイス リソース

インターフェイス幅 FF LUT メモリ LUTRAMB36E2/RAMB18E2

BUFG PLLE3_ADV MMCME3_ADV

36 5,768 4,048 159 20 4 3 1

18 3,974 2,832 159 20 4 2 1

Page 95: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 95PG150 2014 年 4 月 2 日

第 9 章 :製品仕様

ポートの説明メモ リ インターフェイス コアの 上位にはユーザー デザインと呼ばれる 3 つのポート カテゴ リがあ り ます。

• 第 1 のカテゴ リはメモ リ デバイスに直接接続する メモ リ インターフェイス信号です。 これらは QDR II+ SRAM仕様で定義されています。

• 第 2 のカテゴ リはアプリ ケーシ ョ ン インターフェイス信号で、 ネイティブ インターフェイスまたはよ り単純なユーザー インターフェイスのいずれかです。 これらは、 108 ページの 「プロ ト コルの説明」 で解説しています。

• 第 3 のカテゴ リには、 コアの適切な動作に必要なその他の信号が含まれます。 クロ ッ ク、 リセッ ト 、 コアからのステータス信号などです。 クロ ッ クおよびリセッ ト信号については、 それぞれ該当セクシ ョ ンで説明します。

アクティブ High の init_calib_complete 信号は、 初期化とキャ リブレーシ ョ ンが完了し、 インターフェイスがコマンドを受け入れ可能な状態になったこ とを示します。

Page 96: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 96PG150 2014 年 4 月 2 日

第 10章

コアのアーキテクチャこの章では、UltraScale™ アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ン コアについて、モジュールおよびインターフェイスの概要を説明します。

概要図 10-1 に UltraScale アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ンを示します。

ユーザー インターフェイスは、 完全に SDR 信号ベースのシンプルなプロ ト コルを用いて読み出しおよび書き込み要求を生成します。 このプロ ト コルの詳細は、 第 11 章の 「ユーザー インターフェイス」 を参照してください。

QDR II+ SRAM プロ ト コルにはコン ト ローラーの要件がないため、メモ リ コン ト ローラーには物理インターフェイスしかあ り ません。 メモ リ コン ト ローラーはユーザー インターフェイスからコマンドを受け取り、 QDR II+ SRAM デバイスのプロ ト コル要件に従います。 外部メモ リ デバイス と通信するための適切なタイ ミ ング関係と DDR 信号生成はメモ リ コン ト ローラーで処理する必要があ り ます。 詳細は、 第 11 章の 「物理インターフェイス」 を参照してください。

X-Ref Target - Figure 10-1

図 10‐1 : UltraScale アーキテクチャ FPGA のメモリ インターフェイス ソリューシ ョ ン コア

Page 97: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 97PG150 2014 年 4 月 2 日

第 10 章 : コアのアーキテクチャ

PHY

PHY は外部 QDR II+ SRAM デバイスへの下位レベルの物理インターフェイス と見なされます。 PHY には物理インターフェイス自体の動作信頼性を確保するためのキャ リブレーシ ョ ン ロジッ クがすべて含まれます。 PHY はメモ リデバイス とのインターフェイスに必要な信号のタイ ミ ングおよびシーケンスを生成します。

PHY には次のよ うな機能があ り ます。

• ク ロ ッ ク /アドレス /制御信号の生成ロジッ ク

• 書き込みおよび読み出しデータパス

• 電源投入後の SDRAM 初期化ロジッ ク

さ らに、システムの静的遅延および動的遅延に対応するために、読み出しおよび書き込みデータパスのタイ ミ ング トレーニングを実行するキャ リブレーシ ョ ン ロジッ ク もあ り ます。

PHY アーキテクチャ全体

UltraScale アーキテクチャの PHY は専用ブロッ ク と ソフ ト キャ リブレーシ ョ ン ロジッ クで構成されています。 専用ブロ ッ クは互いに隣接して配置され、高性能な物理層を構築するために必要なクロ ッ クおよびデータパス配線を 短に抑えるよ う、 インターコネク トで直接接続されています。

ユーザー インターフェイスおよびキャ リブレーシ ョ ン ロジッ クは、2 分周された低周波数クロ ッ ク ド メ インにある、この専用 PHY と通信します。 図 10-2 に、 PHY デザインの詳細なブロ ッ ク図を示します。

X-Ref Target - Figure 10-2

図 10‐2 : PHY のブロック図

Page 98: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 98PG150 2014 年 4 月 2 日

第 10 章 : コアのアーキテクチャ

PHY アーキテクチャは qdriip_xiphy.v 内のすべてのロジッ クを包含します。 PHY には、 よ り小さなコンポーネン トから メモ リ インターフェイスを構築するために、専用ハード ブロッ クに対するラ ッパーがあ り ます。バイ ト レーンにはすべてのクロ ッ ク、 リセッ ト 、および特定の I/O サブセッ トのデータパスが含まれます。専用クロ ッキング リソース と共に複数のバイ ト レーンをま とめてグループ化し、 単一バンクのメモ リ インターフェイスを構築します。ハード シ リ コン物理層アーキテクチャの詳細は、 『UltraScale™ アーキテクチャ SelctIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] を参照してください。

メモ リの初期化およびキャ リブレーシ ョ ンは、 小型のソフ ト コア プロセッサで動作する C プログラムによって実装されます。 MicroBlaze™ コ ン ト ローラー システム (MCS) は I/O モジ ュール と ブロ ッ ク RAM で構成されます。qdriip_cal_adr_decode.v モジュールは、 プロセッサからシステムのほかの部分へのインターフェイスを提供し、 ヘルパー ロジッ クをインプ リ メン ト します。 config_rom.v モジュールは、 初期化とキャ リブレーシ ョ ンの動作を制御する設定を格納し、 ソース コードを再コンパイルせずに調整できるランタイム オプシ ョ ンを提供します。

アドレス ユニッ トは MCS をローカル レジスタ セッ ト と PHY に接続します。そのために、 メモ リ マップ内の空間から、I/O モジュール バス上でアドレス デコード と制御変換を実行し、戻りデータを多重化します (qdriip_cal_adr_decode.v)。 さ らに、 DRAM インターフェイスの論理的概念から、 PHY アドレス空間における、 ピン配置に依存する適切な遅延制御ロケーシ ョ ンへのアドレス変換 (マッピングと呼ばれる場合もある ) も実行します。

キャ リブレーシ ョ ン アーキテクチャが提供するアドレス マップは、 個々のデータ、 制御、 およびコマンド ビッ トの遅延要素を操作する単純で整理されたものである一方、 これらの I/O ピンの配置方法には柔軟性があ り ます。 特定のI/O 配置の場合、 FPGA ロジッ クへのパスは特定ピンに固定されます。 1 つのバイナリ ソフ ト ウェア ファ イルですべてのメモ リ インターフェイス ピン配置に対応できるよ う、変換ブロ ッ クは単純な RIU アドレス指定をターゲッ ト デザインのピン配置に固有の RIU アドレスに変換します。 固有のアドレス変換はピン配置の選択後に MIG によって書き込まれます。 次のコードは、 これをサポートする RTL 構造の例を示したものです。

Casez(io_address)// MicroBlaze I/O module address // … static address decoding skipped //========================================// //===========DQ ODELAYS===================// //========================================// //Byte0 28' h0004100: begin //dq2 riu_addr_cal = /* MIG Generated */ 6'hd; riu_nibble = /* MIG Generated */ 'h0; end // … additional dynamic addressing follows

表 10‐1 : PHY モジュール

モジュール名 説明

qdriip_phy.v QDR II+ デザインの PHY 上位です。

qdriip_phycal.v XIPHY の 上位およびキャ リブレーシ ョ ンの 上位モジュールのインスタンスが含まれます。

qdriip_cal.v キャ リブレーシ ョ ンの 上位モジュールです。

qdriip_cal_addr_decode.v MicroBlaze プロセッサの FPGA ロジッ ク インターフェイスです。

config_rom.v キャ リブレーシ ョ ン オプシ ョ ンのコンフ ィギュレーシ ョ ンを格納する場所です。

microblaze_mcs.v MicroBlaze プロセッサです。

qdriip_xiphy.v XIPHY インスタンスが含まれます。

qdriip_iob.v バイ ト IOB モジュールすべてをインスタンシエート します。

qdriip_iob_byte.v 特定のバイ ト レーンに含まれるすべての信号で使用する I/O バッファーを生成します。

Page 99: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 99PG150 2014 年 4 月 2 日

第 10 章 : コアのアーキテクチャ

この例では、DQ0 がニブル 0 の Bit[0] に出力されます (ニブル 0 になるのはインスタンシエーシ ョ ン順による )。Bit[0]の ODELAY の RIU アドレスは 0x0D です (RIU アドレス マップの詳細は RIU 仕様を参照)。 DQ0 のアドレスが指定される と、 つま りアド レス 0x000_4100 が指定される と、 コードのこの部分がアクティブになり ます。 これによ り、 ニブル 0 が有効になり (ワン ホッ ト ダウンス ト リームにデコード され)、 アドレス 0x0D が RIU アドレス バスに転送されます。

MicroBlaze の I/O モジュール インターフェイスは、 3 ク ロ ッ ク サイクルに 1 回の 大レートで更新されます。 キャ リブレーシ ョ ンに必要な全機能を実装するには、 このレートでは十分に高速でない場合があ り ます。qdriip_cal_adr_decode.v に実装されたヘルパー回路は、 レジスタからコマンドを取得し、少なく と もその一部を PHY に発行できるよ うに 1 サイ クル精度に変換するのに必要な回路です。 この回路はさ らに、 連続読み出し ト ランザクシ ョ ンと読み出しデータ比較を可能にするコマンド繰り返し機能もサポート します。

メモリの初期化およびキャリブレーシ ョ ンのシーケンス

システム リセッ トのディアサート後、 PHY はまず内部キャ リブレーシ ョ ン手順をいくつか実行します。

1. PHY の内蔵セルフチェッ ク (BISC) を実行します。

2. BISC を PHY 内で使用し、データ ビッ トおよび読み出しパス上のス ト ローブ間の内部スキューを相殺します。計算したスキューは、 キャ リブレーシ ョ ンの完了後の電圧および温度のト ラ ッキング機能で使用します。

3. BISC が完了する と、キャ リブレーシ ョ ン ロジッ クはメモ リに必要なパワーオン初期化シーケンスを実行します。その後、書き込みおよび読み出しデータパスのタイ ミ ング キャ リブレーシ ョ ンが複数の段階にわたって実行されます。

4. キャ リブレーシ ョ ンの完了後、PHY は電圧および温度のト ラ ッキング機能で使用する内部オフセッ ト を計算します。

5. PHY がキャ リブレーシ ョ ンの完了を示し、 ユーザー インターフェイス コマンドの実行が開始されます。

Page 100: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 100PG150 2014 年 4 月 2 日

第 10 章 : コアのアーキテクチャ

図 10-3 に、 メモ リの初期化およびキャ リブレーシ ョ ンの各段階を含む全体的なフローを示します。

X-Ref Target - Figure 10-3

図 10‐3 : PHY 全体の初期化およびキャリブレーシ ョ ンのシーケンス

Page 101: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 101PG150 2014 年 4 月 2 日

第 11章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

クロッキングメモ リ インターフェイスには MMCM が 1 つ、TXPLL がメモ リ インターフェイスが使用する I/O バンクあたり 1 つ、BUFG が 2 つ、 BUFGCE が 1 つ必要です。 これらのク ロ ッキング コンポーネン ト を使用して、 適切なクロ ッ ク周波数と メモ リ インターフェイスの正常動作に必要な位相シフ ト を生成します。

TXPLL は各バンクに 2 つあ り ます。 1 つのバンクを 2 つのメモ リ インターフェイスで共用する場合、 そのバンクにある両方の TXPLL を使用します。

注記 : MIG によって適切なクロ ッキング構造が生成されますが、 RTL への変更はサポート されていません。

MIG ツールは目的とするインターフェイス向けに適切なク ロ ッキング構造を生成します。 この構造は変更できません。 可能なクロ ッ ク コンフ ィギュレーシ ョ ンは次のとおりです。

• GCIO に接続される差動基準クロ ッ ク ソース

• GCIO から MMCM (GCIO と同じバンク内に配置されたもの)

• MMCM から BUFG (MMCM と同じバンク内に配置されたもの)

• BUFG (MMCM 内) から BUFG (メモ リ インターフェイスの中央のバンクに配置されたもの)。 FPGA ロジッ クおよびすべての TXPLL を駆動

• BUFG (MMCM 内) から 2 分周モードの BUFGCE (メモ リ インターフェイスの中央のバンクに配置されたもの)。1/2 レートの FPGA ロジッ クを駆動

要件

GCIO

• 差動 I/O 規格を使用するこ と

• メモ リ インターフェイス と同じ I/O カラム内にあるこ と

MMCM

• MMCM は FPGA ロジッ クのシステム ク ロ ッ ク (メモ リ ク ロ ッ クの 4 分周) を生成する

• GCIO と同じバンク内にあるこ と

• 内部フ ィードバッ クを使用するこ と

• 入力分周器によって分周される入力クロ ッ ク周波数 70MHz (CLKINx/D 70MHz) であるこ と

• 整数倍の周波数および出力分周値を使用するこ と

Page 102: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 102PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

MMCM の BUFG およびクロック ルート

• MMCM と同じバンク内にあるこ と

BUFG/BUFGCE およびクロック ルート

• BUFGCE はシステム ク ロ ッ クを 2 分周するために使用する

• BUFGCE と BUFG およびクロ ッ ク ルートはメモ リ インターフェイスの も中央寄りのバンクに配置するこ と

° 2 バンク システムの場合、いずれのバンク も使用可能。 MIG は中央バンク と して、 GUI では常に選択された上位のバンクを参照

° 4 バンク システムの場合、中央寄りの 2 つのバンクのいずれも使用可能。 MIG は中央バンク と して、選択された 上位のバンクから 2 番目のバンクを参照

° BUFG と BUFGCE は同じバンク内にあるこ と

TXPLL

• TXPLL からの CLKOUTPHY は、 そのバンク内の XiPhy を駆動する

• 位相シフ ト 90° の CLKFBOUT を使用するよ うに TXPLL を設定するこ と

• MMCM ロ ッ ク出力が High に遷移するまで TXPLL を リセッ ト状態に保持するこ と

• 内部フ ィードバッ クを使用するこ と

Page 103: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 103PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

図 11-1 に、3 バンク メモ リ インターフェイスのクロ ッキング構造の例を示します。GCIO が 4 番目のバンクの MMCMを駆動し、 この MMCM がメモ リ インターフェイスの中央にあるバンク 2 へのクロ ッ クを BUFG を介して駆動します。 このク ロ ッ クは、 このバンクにある BUFG と BUFGCE の両方を駆動します。 BUFG の出力はインターフェイスの各バンクで使用する TXPLL を駆動します。

リセッ ト非同期のリセッ ト入力を使用できます。 このアクティブ High のリセッ ト信号は、 FPGA ロジッ ク ク ロ ッ クの 20 サイクル以上アサートする必要があ り ます。

X-Ref Target - Figure 11-1

図 11‐1 : 3 バンク メモリ インターフェイスのクロッキング構造

Page 104: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 104PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

QDR II+ SRAM の PCB ガイド ライン

概要

PCB ガイ ド ラ インの詳細は、 ザイ リ ンクスまでお問い合わせください。

ピンおよびバンクの規則

QDR II+ のピン規則

こ こでは、 QDR II+ SRAM インターフェイスのピン配置規則について説明します。

• HR および HP の両方のバンクをサポート します。

• 書き込みデータ、読み出しデータ、アドレス /制御のすべての信号グループおよびシステム ク ロ ッ ク インターフェイスは、 1 つのカラム内で選択する必要があ り ます。

• 使用するバンクはすべて隣接していなければなり ません。 バンク スキップは禁止です。

1. 書き込みデータ (D) とバイ ト ライ ト (BW) ピンの割り当て

a. 書き込みデータのバスは、 メモ リ コンポーネン ト数にかかわらず、すべてを 1 つのバンク内に配置する必要があ り ます。

b. バイ ト レーンあたりに許容される書き込みデータ バイ トは 1 つだけです。

c. 単一コンポーネン トの書き込みデータに使用するバイ ト レーンはすべて隣接している必要があ り、 バイ トレーンのスキップは禁止です。

d. メモ リ コンポーネン トの書き込みデータ バイ トの 1 つは中央のバイ ト レーン (バイ ト レーン 1 および 2) に割り当てる必要があ り ます。

e. バイ ト ライ ト (BW) の各ピンは、 対応する書き込みデータ バイ ト レーン内に割り当てる必要があ り ます。

2. メモ リ ク ロ ッ ク (K/K#) の割り当て

a. メモ リ ク ロ ッ ク ペアは、対応する メモ リ コンポーネン トの書き込みデータに使用するバイ ト レーンの 1 つに割り当てる必要があ り ます。

b. メモ リ ク ロ ッ クは、 中央のバイ ト レーン (バイ ト レーン 1 および 2) の 1 つから供給する必要があ り ます。

c. K/K# は任意の PN ペアに割り当てるこ とができます。

3. 読み出しデータ (Q) の割り当て

a. 読み出しデータのバスは、 メモ リ コンポーネン ト数にかかわらず、すべてを 1 つのバンク内に配置する必要があ り ます。

b. 単一コンポーネン トの読み出しデータに使用するバイ ト レーンはすべて隣接している必要があ り、 バイ トレーンのスキップは禁止です。

c. メモ リ コンポーネン トの読み出しデータ バイ トの 1 つは中央のバイ ト レーン (バイ ト レーン 1 および 2) に割り当てる必要があ り ます。

d. バイ ト レーンを読み出しデータに使用する場合、 Bit[0] および Bit[6] を使用する必要があ り ます。 読み出しクロ ッ ク (CQ または CQ#) が第 1 優先順位、 データ (Q) が第 2 優先順位です。

e. 2 つのコンポーネン トの読み出しデータ バスは、 1 つのバイ ト レーンを共有できません。

Page 105: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 105PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

4. 読み出しクロ ッ ク (CQ/CQ#) の割り当て

a. 読み出しクロ ッ ク ペアは、 対応する メモ リ コンポーネン トの読み出しデータに使用するバイ ト レーンの 1 つに割り当てる必要があ り ます。

b. CQ/CQ# ペアは単一のバイ ト レーンに割り当てる必要があ り ます。

c. CQ/CQ# を割り当てるこ とができるのは、中央のバイ ト レーン (バイ ト レーン 1 および 2) だけです。ほかのバイ ト レーンは、 読み出しデータ キャプチャのクロ ッ ク出力を転送できないためです。

d. CQ および CQ# はバイ ト レーンのピン 0 またはピン 6 のいずれかに割り当てる必要があ り ます。 たとえば、CQ をピン 0 に割り当てた場合、 CQ# はピン 6 に割り当てます (逆の場合も同様)。

5. アドレス /制御 (A/C) ピンの割り当て

a. アドレス /制御 (A/C) ビッ トは、 すべてを単一のバンク内に割り当てる必要があ り ます。

b. すべての A/C バイ ト レーンは連続して配置する必要があ り、 バイ ト レーンのスキップは禁止です。

c. アドレス /制御バンクは、 書き込みデータ バンク と同じか隣接していなければなり ません。

d. A/C と書き込みデータのバイ ト レーン間に空のバイ ト レーンまたは読み出しバイ ト レーンがあってはなりません。 このルールは、 A/C と書き込みデータが同じバンクを共有する場合、 または隣接バンクに割り当てられている場合に適用されます。

e. アドレス /制御ピンは、 書き込みデータおよび読み出しデータ とバイ ト レーンを共有できません。

f. システム ク ロ ッ ク ピン (sys_clk_p/sys_clk_n) はメモ リ インターフェイス と同じカラム内の任意のGCCIO ピン ペア上に配置する必要があ り ます。

QDR II+ のピン配置例

表 11-1 に、 2 つのバンクに含まれる 18 ビッ トの QDR II+ SRAM インターフェイスの例を示します。

表 11‐1 : 2 つのバンクに含まれる 18 ビッ トの QDR II+ インターフェイス

バンク 信号名 バイ ト グループ I/O タイプ 特記

1 – T1U_12 – –

1 sys_clk_p T1U_11 N –

1 sys_clk_n T1U_10 P –

1 – T1U_9 N –

1 q17 T1U_8 P –

1 q16 T1U_7 N QBC-N

1 cq_p T1U_6 P QBC-P

1 q15 T1L_5 N –

1 q14 T1L_4 P –

1 q13 T1L_3 N –

1 q12 T1L_2 P –

1 q11 T1L_1 N QBC-N

1 cq_n T1L_0 P QBC-P

1 vr T0U_12 – –

1 – T0U_11 N –

1 q10 T0U_10 P –

1 q9 T0U_9 N –

Page 106: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 106PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

1 q8 T0U_8 P –

1 q7 T0U_7 N DBC-N

1 q6 T0U_6 P DBC-P

1 q5 T0L_5 N –

1 q4 T0L_4 P –

1 q3 T0L_3 N –

1 q2 T0L_2 P –

1 q1 T0L_1 N DBC-N

1 q0 T0L_0 P DBC-P

0 – T3U_12 – –

0 – T3U_11 N –

0 – T3U_10 P –

0 d17 T3U_9 N –

0 d16 T3U_8 P –

0 d15 T3U_7 N DBC-N

0 d14 T3U_6 P DBC-P

0 d13 T3L_5 N –

0 d12 T3L_4 P –

0 d11 T3L_3 N –

0 d10 T3L_2 P –

0 bwsn1 T3L_1 N DBC-N

0 d9 T3L_0 P DBC-P

0 – T2U_12 – –

0 d8 T2U_11 N –

0 d7 T2U_10 P –

0 d6 T2U_9 N –

0 d5 T2U_8 P –

0 k_n T2U_7 N QBC-N

0 k_p T2U_6 P QBC-P

0 d4 T2L_5 N –

0 d3 T2L_4 P –

0 d2 T2L_3 N –

0 d1 T2L_2 P –

0 bwsn0 T2L_1 N QBC-N

表 11‐1 : 2 つのバンクに含まれる 18 ビッ トの QDR II+ インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 107: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 107PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

0 d0 T2L_0 P QBC-P

0 doff T1U_12 – –

0 a21 T1U_11 N –

0 a20 T1U_10 P –

0 a19 T1U_9 N –

0 a18 T1U_8 P –

0 a17 T1U_7 N QBC-N

0 a16 T1U_6 P QBC-P

0 a15 T1L_5 N –

0 a14 T1L_4 P –

0 a13 T1L_3 N –

0 a12 T1L_2 P –

0 rpsn T1L_1 N QBC-N

0 a11 T1L_0 P QBC-P

0 vr T0U_12 – –

0 a10 T0U_11 N –

0 a9 T0U_10 P –

0 a8 T0U_9 N –

0 a7 T0U_8 P –

0 a6 T0U_7 N DBC-N

0 a5 T0U_6 P DBC-P

0 a4 T0L_5 N –

0 a3 T0L_4 P –

0 a2 T0L_3 N –

0 a1 T0L_2 P –

0 wpsn T0L_1 N DBC-N

0 a0 T0L_0 P DBC-P

表 11‐1 : 2 つのバンクに含まれる 18 ビッ トの QDR II+ インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 108: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 108PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

プロ ト コルの説明このコアには、 次のインターフェイスがあ り ます。

• メモ リ インターフェイス

• ユーザー インターフェイス

• 物理インターフェイス

メモリ インターフェイス

QDR II+ SRAM インターフェイス ソ リ ューシ ョ ンは、 カスタマイズするこ とで複数のコンフ ィギュレーシ ョ ンをサポート します。 各コンフ ィギュレーシ ョ ンは、 コアの 上位の Verilog パラ メーターで定義します。

ユーザー インターフェイス

ユーザー インターフェイスは FPGA のユーザー デザインと QDR II+ SRAM ソ リ ューシ ョ ン コアを接続し、ユーザーと外部メモ リ デバイス間のやり と り を簡略化します。 ユーザー インターフェイスには、 メモ リ デバイスに対して読み出し /書き込みコマンドを発行するための信号があ り ます。 表 11-2 にこれらの信号を示します。

表 11‐2 :ユーザー インターフェイス

信号 方向 説明

app_rd_addr0[ADDR_WIDTH – 1:0] 入力読み出しアド レスです。 読み出し要求に使用するアド レスを供給します。app_rd_cmd0 がアサート される と有効になり ます。

app_rd_cmd0 入力読み出しコマンドです。読み出し要求を発行するための信号で、 ポート 0 のアドレスが有効であるこ とを示します。

app_rd_data0[DBITS × BURST_LEN – 1:0] 出力読み出しデータです。app_rd_cmd0 で発行された読み出しコマンドから読み出したデータを送信します。

app_rd_valid0 出力 読み出し有効です。 メ モ リ か ら読み出し たデータがapp_rd_data0 で有効で、 サンプル可能になったこ とを示します。

app_wr_addr0[ADDR_WIDTH – 1:0] 入力書き込みアドレスです。 書き込み要求に対するアド レスを供給します。app_wr_cmd0 がアサート される と有効になり ます。

app_wr_bw_n0[(DBITS/9) × BURST_LEN – 1:0] 入力

バイ ト ライ ト を書き込みます。 書き込み要求に使用するバイ ト書き込み信号を供給します。 app_wr_cmd0 がアサート される と有効にな り ます。 これらのイネーブル信号はアクティブ Low です。

app_wr_cmd0 入力書き込みコマンドです。 書き込み要求を発行するための信号で、 書き込みポート 0 の対応するサイ ドバンド信号が有効であるこ とを示します。

app_wr_data0[DBITS × BURST_LEN – 1:0] 入力書き込みデータです。 書き込み要求に使用するデータを供給します。app_wr_cmd0 がアサート される と有効になり ます。

app_rd_addr1[ADDR_WIDTH – 1:0](1)入力

読み出しアド レスです。 読み出し要求に使用するアド レスを供給します。app_rd_cmd1 がアサート される と有効になり ます。

Page 109: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 109PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

app_rd_cmd(1)入力

読み出しコマンドです。読み出し要求を発行するための信号で、 ポート 1 のアドレスが有効であるこ とを示します。

app_rd_data1[DBITS × BURST_LEN – 1:0](1)出力

読み出しデータです。app_rd_cmd1 で発行された読み出しコマンドから読み出したデータを送信します。

app_rd_valid1(1)出力

読み出し有効です。 メ モ リ か ら読み出し たデータがapp_rd_data1 で有効で、 サンプル可能になったこ とを示します。

app_wr_addr1[ADDR_WIDTH – 1:0](1)入力

書き込みアドレスです。 書き込み要求に対するアド レスを供給します。app_wr_cmd1 がアサート される と有効になり ます。

app_wr_bw_n1[(DBITS/9) × BURST_LEN – 1:0](1)入力

バイ ト ライ ト を書き込みます。 書き込み要求に使用するバイ ト書き込み信号を供給します。 app_wr_cmd1 がアサート される と有効にな り ます。 これらのイネーブル信号はアクティブ Low です。

app_wr_cmd1(1)入力

書き込みコマンドです。 書き込み要求を発行するための信号で、 書き込みポート 1 の対応するサイ ドバンド信号が有効であるこ とを示します。

app_wr_data1[DBITS × BURST_LEN – 1:0](1)入力

書き込みデータです。 書き込み要求に使用するデータを供給します。app_wr_cmd1 がアサート される と有効になり ます。

clk 出力 ユーザー インターフェイス ク ロ ッ クです。

rst_clk 出力ユーザー インターフェイス ク ロ ッ クに同期する リ セットです。

Init_calib_complete 出力

キャ リ ブレーシ ョ ン完了です。 読み出しキャ リ ブレーシ ョ ンが完了したこ とをユーザー デザインに通知する信号です。 この信号がアサート されたら、 ク ライアン ト インターフェイスから読み出し /書き込み要求を開始できます。

sys_rst 入力 非同期のシステム リ セッ ト入力です。

sys_clk_p/n 入力 メモ リ コン ト ローラーへのシステム ク ロ ッ クです。

1. これらのポートが使用可能かつ有効になるのは BL2 コンフ ィギュレーシ ョ ンの場合のみです。 BL4 コンフ ィギュレーシ ョ ンの場合、 これ

らのポートは使用できません。 使用できる場合は、 駆動する必要があ り ません。

表 11‐2 :ユーザー インターフェイス (続き)

信号 方向 説明

Page 110: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 110PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

ユーザー インターフェイスを介したコアとのインターフェイス

図 11-2 に、 ユーザー インターフェイスのプロ ト コルを示します。

要求を発行する前に、init_calib_complete 信号が High にアサート されている必要があ り ます (図 11-2)。アサート されていない場合は読み出し /書き込み要求を発行できず、ク ライアン ト インターフェイス側では app_wr_cmd または app_rd_cmd のアサートが無視されます。app_wr_cmd を 1 サイ クル パルスと してアサートする と書き込み要求が発行されます。 このと き、 app_wr_addr、 app_wr_data、 app_wr_bw_n 信号がいずれも有効である必要があり ます。

直後のサイクルで app_rd_cmd を 1 サイ クル パルスと してアサートする と読み出し要求が発行されます。このと き、app_rd_addr が有効である必要があ り ます。 1 サイクルのアイ ドル時間の後、 同じ クロ ッ ク サイクルで読み出し要求と書き込み要求が同時にアサート されます。 この場合、 メモ リの読み出しが先に実行され、 次に書き込みが実行されます。 書き込みおよび読み出しコマンドは、 ユーザー インターフェイスに順不同で発行できます。 図 11-2 に 2 つの例を示します。

図 11-2 には、 メモ リ デバイスからユーザー デザインにデータが返されるタイ ミ ングを示しています。 app_rd_vld信号がアサート され、 app_rd_data が有効になったこ とを示しています。 コアは返されたデータをバッファーしないため、 app_rd_vld がアサート されたそのサイ クルでデータをサンプリ ングする必要があ り ます。 BL2 の場合、 2つの独立したポート、 ポート 0 とポート 1 で同じプロ ト コルに従う必要があ り ます。

X-Ref Target - Figure 11-2

図 11‐2 :ユーザー インターフェイスの書き込み/読み出しタイ ミング図

Page 111: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 111PG150 2014 年 4 月 2 日

第 11 章 : コアを使用するデザイン

物理インターフェイス

物理インターフェイスは、 FPGA メモ リ インターフェイス ソ リ ューシ ョ ンを外部の QDR II+ SRAM デバイスに接続する部分です。 表 11-3 に、 このインターフェイスで使用される I/O 信号を説明します。 これらの信号は、 メモ リ デバイスの対応する信号へ直接接続できます。

図 11-3 に、 メモ リ インターフェイスにおける書き込みおよび読み出し例のタイ ミ ング図を示します。

表 11‐3 :物理インターフェイスの信号

信号 方向 説明

qdr_cq_n 入力 QDR CQ# です。 メモリから返されるエコー クロ ッ クです。 qdr_k_n から生成されます。

qdr_cq_p 入力 QDR CQ です。 メモ リから返されるエコー ク ロ ッ クです。 qdr_k_p から生成されます。

qdr_d 出力 QDR データです。 PHY から QDR II+ メモ リ デバイスへの書き込みデータです。

qdr_dll_off_n 出力 QDR DLL オフです。 メモ リ デバイスの DLL をオフにする信号です。

qdr_bw_n 出力 QDR バイ ト ラ イ トです。PHY から QDR II + SRAM デバイスへのバイ ト書き込み信号です。

qdr_k_n 出力 QDR ク ロ ッ ク K# です。 メモ リ デバイスへ供給される反転入力クロ ッ クです。

qdr_k_p 出力 QDR ク ロ ッ ク K です。 メモ リ デバイスへ供給される入力クロ ッ クです。

qdr_q 入力 QDR データ Q です。 メモ リの読み出しから返されるデータです。

qdr_qvld 入力QDR Q 有効です。qdr_q のデータが有効であるこ とを示します。QDR II+ SRAM デバイスのみに存在する信号です。

qdr_sa 出力 QDR アドレスです。 メモ リ動作に使用するアドレスです。

qdr_w_n 出力 QDR 書き込みです。 メモ リへの書き込みコマンドです。

qdr_r_n 出力 QDR 読み出しです。 メモ リへの読み出しコマンドです。

X-Ref Target - Figure 11-3

図 11‐3 :バースト長 4 ワードのメモリ デバイスのプロ ト コル

Page 112: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 112PG150 2014 年 4 月 2 日

第 12章

デザイン フローの手順この章では、 コアのカスタマイズ、 生成、 制約、 およびこの IP コアに特有のシ ミ ュレーシ ョ ン、 合成、 インプ リ メンテーシ ョ ンの手順について説明します。 Vivado® IP インテグレーターを使用した標準的な Vivado デザイン フローの詳細は、 次の Vivado Design Suite ユーザー ガイ ドに記載されています。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7]

コアのカスタマイズと生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

IP インテグレーターを使用したコアのカスタマイズおよび生成については、『Vivado Design Suite ユーザー ガイ ド : IPインテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。IP インテグレーターは、デザインの検証または生成時に、一部の設定値を自動的に計算する場合があ り ます。値の変化の有無を確認するには、この章のパラ メーターの説明を参照してください。パラ メーター値を確認するには、Tcl コンソールから validate_bd_design コマンドを実行してください。

Vivado 統合設計環境 (IDE)

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] および 『Vivado Design Suite ユーザー ガイ ド : スタート アップ ガイ ド』 (UG910) [参照 6] を参照してください。

MIG I/O プランニング

I/O プランニングの詳細は、 73 ページの 「MIG I/O プランニング」 を参照して ください。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 113: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 113PG150 2014 年 4 月 2 日

第 12 章 :デザイン フローの手順

コアへの制約こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

MIG Vivado IDE は必須の制約を生成します。ロケーシ ョ ン制約および I/O 規格制約がデザインの各外部ピンに追加されます。 ロケーシ ョ ンはデザインで選択したバンク とバイ ト レーンに従って、 Vivado IDE が選択します。

I/O 規格は、 メモ リ タイプの選択と Vivado IDE 内のオプシ ョ ン、 およびピン タイプに応じて選択されます。qdriip_d[0] の例を次に示します。

set_property LOC AP25 [get_ports c0_qdriip_d[0]]set_property IOSTANDARD HSTL_I [get_ports c0_qdriip_d[0]]

システム ク ロ ッ クの周期を適切に設定する必要があ り ます。

create_clock -name c0_sys_clk –period 1.818 [get_ports c0_sys_clk_p]

デバイス、 パッケージ、 スピード グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

ク ロ ッキングの詳細は、 101 ページの 「ク ロ ッキング」 を参照して ください。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバー配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

Vivado IDE で選択したインターフェイスのタイプおよびオプシ ョ ン設定に従い、MIG ツールが適切な I/O 規格制約とロケーシ ョ ン制約を生成します。

Page 114: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 114PG150 2014 年 4 月 2 日

第 12 章 :デザイン フローの手順

シ ミ ュレーシ ョ ンこ こでは、 Vivado Design Suite で IP シ ミ ュレーシ ョ ンを実行する方法について説明します。 Vivado シ ミ ュレーシ ョ ンコンポーネン トについて、またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザーガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照してください。

合成とインプリ メンテーシ ョ ンこ こでは、 Vivado Design Suite で合成およびインプ リ メ ンテーシ ョ ンを実行する方法について説明します。 合成と インプリ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 115: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 115PG150 2014 年 4 月 2 日

第 13章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

Vivado は Open IP Example Design フローをサポート しています。このフローを使用してサンプル デザインを作成するには、 図 13-1 に示すとおり [Sources] ビューの IP を右ク リ ッ ク して、 [Open IP Example Design] をク リ ッ ク します。

このオプシ ョ ンでは新しい Vivado プロジェク トが作成されます。 メニューを選択する と、 新規デザイン プロジェクト を格納するディ レク ト リ情報を入力するダイアログ ボッ クスが表示されます。

ディ レク ト リ を選択して (またはデフォルト を使用) [OK] をク リ ッ ク します。 これによ り、 すべてのサンプル デザイン ファ イルと IP のコピーを含む新しい Vivado プロジェク トが起動します。 このプロジェク トでは、 example_topがインプ リ メンテーシ ョ ンの 上位ディ レク ト リ、 sim_tb_top がシ ミ ュレーシ ョ ンの 上位ディレク ト リです (図 13-2)。

X-Ref Target - Figure 13-1

図 13‐1 : IP サンプル デザインを開く

Page 116: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 116PG150 2014 年 4 月 2 日

第 13 章 :サンプル デザイン

サンプル デザインのシミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン)サンプル デザインは、 メモ リ コン ト ローラー駆動用の固定された単純なデータ パターンを生成する、 合成可能なテス トベンチを提供します。 このテス トベンチは IP ラ ッパー、 および書き込みと読み出しをそれぞれ 10 回ずつ生成する example_tb から構成されます。 IP からデザインを生成する際に、 メモ リ モデル ファ イルは生成されません。 メモ リ モデルは Micron® 社のウェブサイ トからダウンロードする必要があ り ます。

重要 : ザイ リ ンクス® UNISIMS_VER および SECUREIP ライブラ リ をシ ミ ュレータにマッピングする必要があり ます。

シ ミ ュレーシ ョ ンは、 次のディ レク ト リから実行します。

<project_dir>/example_project/<Component_Name>example/<Component_Name>_example.srcs/sim_1/imports/<Component_Name>/tb

Vivado IDE の [Component Name] に 「mig_0」 と入力して MIG デザインを生成した場合、 シ ミ ュレーシ ョ ンのディ レク ト リ パスは次のよ うにな り ます。

<project_dir>/example_project/mig_0_example/mig_0_example.srcs/sim_1/imports/mig_0/tb

X-Ref Target - Figure 13-2

図 13‐2 :サンプル デザインのプロジェク ト

Page 117: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 117PG150 2014 年 4 月 2 日

第 13 章 :サンプル デザイン

MIG はメモ リ モデルを提供します。 ソフ ト ウェア リ リースごとに、 Questa® SIM、 IES、 VCS シ ミ ュレーシ ョ ン ツールを使用して MIG IP が検証されます。Questa SIM、 IES、VCS でシ ミ ュレーシ ョ ンを実行するためのスク リプ ト ファイルは、 MIG の出力内に生成されます。 MIG デザインは Vivado シ ミ ュレータでは検証されていません。 MIG IP のシミ ュレーシ ョ ンにはその他のシ ミ ュレーシ ョ ン ツールも使用できますが、ザイ リ ンクスでは特にこれらを検証していません。

Page 118: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 118PG150 2014 年 4 月 2 日

第 14章

テス トベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

メモ リ コン ト ローラーは、基本的な読み出しおよび書き込み動作を検証する、簡単なテス トベンチと共に生成されます。 スティ ミ ュラスには、データ整合性をチェッ クするための 10 回の連続書き込みおよびそれに続く 10 回の読み出しが含まれます。

Page 120: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 120PG150 2014 年 4 月 2 日

第 15章

概要ザイ リ ンクス UltraScale™ アーキテクチャには、 RLDRAM 3 メモ リ インターフェイス ソ リ ューシ ョ ン (MIS) コアが含まれます。 MIS コアは、 これら DRAM タイプのメモ リに接続するためのインターフェイス ソ リ ューシ ョ ンを提供します。 メモ リ コン ト ローラー全体のソ リ ューシ ョ ンと、 物理層 (PHY) のみを提供するソ リ ューシ ョ ンの両方をサポート しています。 RLDRAM 3 コアの UltraScale アーキテクチャは次の上位ブロッ クで構成されます。

• コン ト ローラー ― ユーザー インターフェイスからのバース ト ト ランザクシ ョ ンを受信し、RLDRAM 3 との間のト ランザクシ ョ ンを生成します。 コン ト ローラーは DRAM のタイ ミ ング パラ メーターと リ フレッシュを制御します。

• 物理層 ― DRAM への高速インターフェイスを提供します。 この層には FPGA 内のハード ブロ ッ ク と、 それらハード ブロ ッ ク と DRAM 間で 適なインターフェイス タイ ミ ングを確保するために使用されるソフ ト ブロ ック キャ リブレーシ ョ ン ロジッ クが含まれます。

UltraScale アーキテクチャに新たに導入されたハード ブロ ッ クによって、 大 2,133Mb/s のインターフェイス速度を実現できるよ うになり ました。

° ハード ブロ ッ クには次の機能があ り ます。

- データのシ リアライズと送信

- データのキャプチャ とデシ リ アライズ

- 高速クロ ッ クの生成と同期

- 電圧および温度のト ラ ッキング機能を備えた、 ピンごとの細精度の遅延調整エレ メン ト

° ソフ ト ブロ ッ クには次の機能があ り ます。

- メモ リ初期化 ― キャ リブレーシ ョ ン モジュールは、RLDRAM 3 の JEDEC® 準拠初期化ルーチンを提供します。 必要に応じて初期化プロセスの遅延をバイパスしてシ ミ ュレーシ ョ ン時間を短縮できます。

- キャ リブレーシ ョ ン ― キャ リブレーシ ョ ン モジュールは、 ハード ブロ ッ ク内のすべての遅延を設定し、 ソフ ト IP がメモ リ インターフェイスで適切に動作するよ うにする包括的な方法を提供します。 インターフェイス性能を 適化するために、各ビッ ト を個別にト レーニングしてから結合します。 キャ リブレーシ ョ ン プロセスの結果はザイ リ ンクスのデバッグ ツールで確認できます。 キャ リブレーシ ョ ンの完了後、 PHY 層は DRAM への raw (未調整) インターフェイスを提供します。

• アプリ ケーシ ョ ン インターフェイス ― ユーザー インターフェイス層は、 アプリ ケーシ ョ ンにシンプルな FIFOインターフェイスを提供します。 データはバッファーに格納され、 読み出しデータは要求順に出力されます。

Page 121: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 121PG150 2014 年 4 月 2 日

第 15 章 :概要

機能一覧• 36 ビッ ト幅インターフェイスをサポート

• x18 および x36 のメモ リ デバイスをサポート

• 4 ワードおよび 8 ワード バース ト をサポート

• 5 ~ 16 サイクルの読み出しレイテンシをサポート

• アドレス マルチプレクス モードをサポート

• ODT をサポート

• JEDEC 準拠の RLDRAM 3 の初期化をサポート

• ソース コードは Verilog で提供

• 4:1 のメモリ対 FPGA ロジッ ク インターフェイスのクロ ッ ク比をサポート

X-Ref Target - Figure 15-1

図 15‐1 : UltraScale アーキテクチャ FPGA のメモリ インターフェイス ソリューシ ョ ン

Page 122: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 122PG150 2014 年 4 月 2 日

第 15 章 :概要

ライセンスおよび注文情報このザイ リ ンクス LogiCORE IP モジュールは、ザイ リ ンクス Vivado Design Suite に無償で含まれ、ザイ リ ンクス エンド ユーザー ライセンス規約に同意も元で使用できます。この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado® デザイン ツールでは、 設計フローにライセンスが必要な IP の使用を確認する、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ラ イセンスチェッ クポイン トが適用されるのは、 次のツールです。

• Vivado デザイン ツール : Vivado 合成

• Vivado インプリ メンテーシ ョ ン

• write_bitstream (Tcl コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

Page 123: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 123PG150 2014 年 4 月 2 日

第 16章

製品仕様

規格UltraScale™ アーキテクチャに関する資料の詳細は、 158 ページの 「参考資料」 を参照して ください。

性能

最大周波数

大周波数の詳細は、『Kintex UltraScale アーキテクチャ データシート : DC および AC スイ ッチ特性』 (DS892) [参照 2]を参照してください。

リソース使用量

Kintex UltraScale デバイス

表 16-1 に、 Kintex® UltraScale™ デバイスのリ ソース概数を示します。

Kintex UltraScale デバイスの場合に UltraScale アーキテクチャ FPGA MIS コアに必要な リ ソースを見積も り ました。Vivado® IP カタログを使用して得られた値です。 合成後レポートから求めた値であ り、 インプリ メンテーシ ョ ン時に変化する可能性があ り ます。

表 16‐1 :デバイス使用量 ― Kintex UltraScale FPGA

パラメーター値 デバイス リソース

インターフェイス幅 FF LUT メモリ LUTRAMB36E2/RAMB18E2

BUFG PLLE3_ADV MMCME3_ADV

36 4,586 4,570 463 24 4 2 1

Page 124: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 124PG150 2014 年 4 月 2 日

第 16 章 :製品仕様

ポートの説明メモ リ インターフェイス コアの 上位にはユーザー デザインと呼ばれる 3 つのポート カテゴ リがあ り ます。

• 第 1 のカテゴ リは RLDRAM に直接接続する メモ リ インターフェイス信号です。 これらは RLDRAM 3 仕様で定義されています。

• 第 2 のカテゴ リはユーザー インターフェイス となるアプリ ケーシ ョ ン インターフェイス信号です。 これらは、141 ページの 「プロ ト コルの説明」 で解説しています。

• 第 3 のカテゴ リには、 コアの適切な動作に必要なその他の信号が含まれます。 クロ ッ ク、 リセッ ト 、 コアからのステータス信号などです。 クロ ッ クおよびリセッ ト信号については、 それぞれ該当セクシ ョ ンで説明します。

アクティブ High の init_calib_complete 信号は、 初期化とキャ リブレーシ ョ ンが完了し、 インターフェイスがコマンドを受け入れ可能な状態になったこ とを示します。

Page 125: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 125PG150 2014 年 4 月 2 日

第 17章

コアのアーキテクチャこの章では、UltraScale™ アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ン コアについて、モジュールおよびインターフェイスの概要を説明します。

概要図 17-1 に、 RLDRAM 3 メモ リ インターフェイス ソ リ ューシ ョ ンの概略ブロ ッ ク図を示します。 この図では、読み出し /書き込みコマンドを開始するためのユーザー インターフェイスへの内部 FPGA 接続、 およびメモ リ デバイスへの外部インターフェイスを示しています。

X-Ref Target - Figure 17-1

図 17‐1 : RLDRAM 3 インターフェイス ソリューシ ョ ンの概略ブロック図

Page 126: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 126PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

図 17-2 に、 UltraScale アーキテクチャ FPGA のメモ リ インターフェイス ソ リ ューシ ョ ンを示します。

ユーザー インターフェイスは、 完全に SDR 信号ベースのシンプルなプロ ト コルを用いて読み出しおよび書き込み要求を生成します。 このプロ ト コルの詳細は、 第 18 章の 「ユーザー インターフェイス」 を参照してください。

メモ リ コン ト ローラーはユーザー インターフェイスからコマンドを受け取り、 RLDRAM 3 デバイスのプロ ト コル要件に従います。 詳細は、 「メモ リ コン ト ローラー」 を参照してください。

物理インターフェイスは、 RLDRAM 3 プロ ト コルと タイ ミ ング要件を満たしながら外部メモ リ デバイス と通信できるよ うに、 適切なタイ ミ ング関係と DDR 信号を生成します。 詳細は、 第 18 章の 「物理インターフェイス」 を参照してください。

X-Ref Target - Figure 17-2

図 17‐2 : UltraScale アーキテクチャ FPGA のメモリ インターフェイス ソリューシ ョ ン コア

Page 127: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 127PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

メモリ コン ト ローラーメモ リ コン ト ローラー (MC) は RLDRAM 3 のアクセス要件を適用し、 PHY と接続します。 また、 メモ リ デバイスへ転送されるコマンド と同じ順序でコマンドを処理します。

メモ リ コン ト ローラーはユーザー インターフェイスからコマンドを受け取り、そのコマンドをすぐに処理できるか、または待機する必要があるかを判断します。 すべての要件が満たされる と、 コマンドは PHY インターフェイスへ配置されます。 書き込みコマンドの場合、 コン ト ローラーはユーザー インターフェイス向けに信号を生成して PHY へ書き込みデータを提供します。 この信号は、 コマンド とデータの適切な関連を確保するために、 メモ リ コンフ ィギュレーシ ョ ンに基づいて生成されるものです。 オート リ フレッシュ コマンドは、 メモ リ デバイスのリ フレッシュ要件を満たすためにコン ト ローラーによってコマンド フロー内に挿入されます。

CIO デバイスでは、データ バスは読み出しおよび書き込みデータで共有されます。読み出しコマンドから書き込みコマンド (またはその逆) へと切り替える と、バスの変更が原因でコマンド ス ト リームにギャ ップが発生します。スループッ ト を向上させるには、 コマンド バスの変更を可能な限り 小限に抑える必要があ り ます。

CMD_PER_CLK は、 FPGA ロジッ クのクロ ッ ク サイクルごとにコン ト ローラーへ与えられる メモ リ コマンド数を決定する 上位のパラ メーターです。 パラ メーターの値は、 nCK_PER_CLK およびバース ト長によって決ま り ます。 たとえば、 nCK_PER_CLK = 4 の場合、CMD_PER_CLK はバース ト長 = 8 に対しては 1、バース ト長 = 4 に対しては 2 に設定されます。

キャ リブレーシ ョ ンが完了するまで、 コン ト ローラーは CTL_IDLE ステートにとどま り ます。キャ リブレーシ ョ ンのdone 信号がアサート され、 コマンド要求が受信される と、 ステート マシンは CTL_LOAD_CMD1 ステート (実質的にはパイプライン ステート ) を経て、 CTL_LOAD_CMD2 ステートに遷移します。

単一のコマンド要求の場合、 コン ト ローラーのステート マシンは、 CTL_LOAD_CMD2 から CTL_PROC_LAST_CMDに遷移し、 CTL_IDLE に戻り ます。

CMD_PER_CLK = 1 の場合に、 同じ RLDRAM 3 バンクに対して複数のコマン ドを発行する と、 ステー ト マシンはCTL_LOAD_CMD2 → CTL_PROC_CMD → CTL_PROC_CMD1 → CTL_PROC_LAST_CMD → CTL_IDLE のよ うに遷移します。

CMD_PER_CLK > 1 の場合に、 同じ RLDRAM 3 バンクに対して複数のコマン ドを発行する と、 ステー ト マシンはCTL_LOAD_CMD2 → CTL_PROC_CMD → CTL_PROC_CMD1 → CTL_PROC_LAST_CMD → CTL_PROC_LAST_CMD1→ CTL_IDLE のよ うに遷移します。

CMD_PER_CLK = 1 の場合に、 異なる RLDRAM 3 バンクに対して複数のコマンドを発行する と、 ステート マシンはCTL_LOAD_CMD2 → CTL_PROC_CMD → CTL_PROC_LAST_CMD → CTL_IDLE のよ うに遷移します。

CMD_PER_CLK > 1 の場合に、 異なる RLDRAM 3 バンクに対して複数のコマンドを発行する と、 ステート マシンはCTL_LOAD_CMD2 → CTL_PROC_CMD → CTL_PROC_LAST_CMD → CTL_PROC_LAST_CMD1 → CTL_IDLE のよ うに遷移します。

Page 128: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 128PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

図 17-3 に、 コン ト ローラーのステート マシン ロジッ クを示します。

X-Ref Target - Figure 17-3

図 17‐3 : コン ト ローラーのステート マシン ロジック (CMD_PER_CLK == 1 または 2)

Page 129: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 129PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

PHY

PHY には、 外部の RLDRAM 3 デバイスへの下位の物理インターフェイス、 および物理インターフェイス自体の動作信頼性を確保するためのキャ リブレーシ ョ ン ロジッ クがすべて含まれます。 PHY はメモ リ デバイス とのインターフェイスに必要な信号のタイ ミ ングおよびシーケンスを生成します。

PHY には次のよ うな機能があ り ます。

• ク ロ ッ ク /アドレス /制御信号の生成ロジッ ク

• 書き込みおよび読み出しデータパス

• 電源投入後の SDRAM 初期化ロジッ ク

さ らに、システムの静的遅延および動的遅延に対応するために、読み出しおよび書き込みデータパスのタイ ミ ング トレーニングを実行するキャ リブレーシ ョ ン ロジッ ク もあ り ます。

PHY アーキテクチャ全体

UltraScale アーキテクチャの PHY は専用ブロッ ク と ソフ ト キャ リブレーシ ョ ン ロジッ クで構成されています。 専用ブロ ッ クは互いに隣接して配置され、高性能な物理層を構築するために必要なクロ ッ クおよびデータパス配線を 短に抑えるよ う、 インターコネク トで直接接続されています。

メモ リ コン ト ローラーおよびキャ リブレーシ ョ ン ロジッ クは、 4 分周または 2 分周された低周波数クロ ッ ク ド メ インにある、 この専用 PHY と通信します。 分周比は RLDRAM 3 のメモ リ ク ロ ッ クに依存します。 図 17-4 に、 PHY デザインの詳細なブロ ッ ク図を示します。

Page 130: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 130PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

メモ リ コン ト ローラーは、 コン ト ローラーと物理層を明確に分離するため、 下位の PHY 要件からコマンド処理を独立させるよ うに設計されています。 コマンド処理は必要に応じてカスタム ロジッ クに置き換えるこ とができますが、その場合も PHY とのインターフェイス用ロジッ クは変わらず、 そのままキャ リブレーシ ョ ン ロジッ クで使用できます。

X-Ref Target - Figure 17-4

図 17‐4 : PHY のブロック図

表 17‐1 : PHY モジュール

モジュール名 説明

rld3_phy.v インフラス ト ラ クチャ (pll.v)、 rld_cal.v、 rld_xiphy.v、 およびキャ リブレーシ ョ ン と メモ リ コン トローラー間の MUX が含まれます。

rld_cal.v MicroBlaze 処理システムと関連するロジッ クが含まれます。

rld_cal_adr_decode.v MicroBlaze プロセッサの FPGA ロジッ ク インターフェイスです。

config_rom.v キャ リブレーシ ョ ン オプシ ョ ンのコンフ ィギュレーシ ョ ンを格納する場所です。

microblaze MicroBlaze プロセッサです。

rld_iob.v バイ ト IOB モジュールすべてをインスタンシエート します。

rld_iob_byte.v 特定のバイ ト レーンに含まれるすべての信号で使用する I/O バッファーを生成します。

Page 131: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 131PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

PHY アーキテクチャは rld_xiphy.v 内のすべてのロジッ クを包含します。 PHY には、 よ り小さなコンポーネン トから メモ リ インターフェイスを構築するために、 専用ハード ブロ ッ クに対するラ ッパーがあ り ます。 バイ ト レーンにはすべてのク ロ ッ ク、 リ セッ ト 、 および特定の I/O サブセッ トのデータパスが含まれます。 専用ク ロ ッキング リソース と共に複数のバイ ト レーンをま とめてグループ化し、 単一バンクのメモ リ インターフェイスを構築します。ハード シ リ コン物理層アーキテクチャの詳細は、 『UltraScale™ アーキテクチャ SelctIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] を参照してください。

メモ リの初期化およびキャ リブレーシ ョ ンは、小型のソフ ト コア プロセッサで動作する C プログラムによって実装されます。 MicroBlaze™ コ ン ト ローラー システム (MCS) は I/O モジュールと ブロ ッ ク RAM で構成されます。rld_cal_adr_decode.v モジュールは、 プロセッサからシステムのほかの部分へのインターフェイスを提供し、 ヘルパー ロジッ クをインプリ メン ト します。config_rom.v モジュールは、初期化とキャ リブレーシ ョ ンの動作を制御する設定を格納し、 ソース コードを再コンパイルせずに調整できるランタイム オプシ ョ ンを提供します。

アドレス ユニッ トは MCS をローカル レジスタ セッ ト と PHY に接続します。そのために、 メモ リ マップ内の空間から、 I/O モジ ュール バス上でア ド レ ス デコード と制御変換を実行し、 戻 り データ を多重化し ます(rld_cal_adr_decode.v)。 さ らに、 DRAM インターフェイスの論理的概念から、 PHY アドレス空間における、 ピン配置に依存する適切な遅延制御ロケーシ ョ ンへのアドレス変換 (マッピングと呼ばれる場合もある ) も実行します。

キャ リブレーシ ョ ン アーキテクチャが提供するアドレス マップは、 個々のデータ、 制御、 およびコマンド ビッ トの遅延要素を操作する単純で整理されたものである一方、 これらの I/O ピンの配置方法には柔軟性があ り ます。 特定のI/O 配置の場合、 FPGA ロジッ クへのパスは特定ピンに固定されます。 1 つのバイナリ ソフ ト ウェア ファ イルですべてのメモ リ インターフェイス ピン配置に対応できるよ う、変換ブロ ッ クは単純な RIU アドレス指定をターゲッ ト デザインのピン配置に固有の RIU アドレスに変換します。 固有のアドレス変換はピン配置の選択後に MIG によって書き込まれます。 次のコードは、 これをサポートする RTL 構造の例を示したものです。

Casez(io_address)// MicroBlaze I/O module address // … static address decoding skipped //========================================// //===========DQ ODELAYS===================// //========================================// //Byte0 28' h0004100: begin //dq2 riu_addr_cal = /* MIG Generated */ 6'hd; riu_nibble = /* MIG Generated */ 'h0; end // … additional dynamic addressing follows

この例では、DQ0 がニブル 0 の Bit[0] に出力されます (ニブル 0 になるのはインスタンシエーシ ョ ン順による )。Bit[0]の ODELAY の RIU アドレスは 0x0D です (RIU アドレス マップの詳細は RIU 仕様を参照)。 DQ0 のアドレスが指定される と、 つま りアド レス 0x000_4100 が指定される と、 コードのこの部分がアクティブになり ます。 これによ り、 ニブル 0 が有効になり (ワン ホッ ト ダウンス ト リームにデコード され)、 アドレス 0x0D が RIU アドレス バスに転送されます。

MicroBlaze の I/O モジュール インターフェイスは、 3 ク ロ ッ ク サイクルに 1 回の 大レートで更新されます。 キャ リブレーシ ョ ンに必要な全機能を実装するには、 このレートでは十分に高速でない場合があ り ます。rld_cal_adr_decode.v に実装されたヘルパー回路は、 レジスタからコマンドを取得し、 少な く と もその一部をPHY に発行できるよ うに 1 サイクル精度に変換するのに必要な回路です。この回路はさらに、連続読み出し ト ランザクシ ョ ンと読み出しデータ比較を可能にするコマンド繰り返し機能もサポート します。

Page 132: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 132PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

メモリの初期化およびキャリブレーシ ョ ンのシーケンス

システム リセッ トのディアサート後、 PHY はまず内部キャ リブレーシ ョ ン手順をいくつか実行します。

1. PHY の内蔵セルフチェッ ク (BISC) を実行します。

2. PHY 内で BISC を使用し、 キャ リブレーシ ョ ン完了後の電圧および温度のト ラ ッキング機能で使用する内部スキューを計算します。

3. BISC が完了する と、キャ リブレーシ ョ ン ロジッ クはメモ リに必要なパワーオン初期化シーケンスを実行します。その後、書き込みおよび読み出しデータパスのタイ ミ ング キャ リブレーシ ョ ンが複数の段階にわたって実行されます。

4. キャ リブレーシ ョ ンの完了後、PHY は電圧および温度のト ラ ッキング機能で使用する内部オフセッ ト を計算します。

5. PHY がキャ リブレーシ ョ ンの完了を示し、 コン ト ローラーはメモ リへのコマンド発行を開始します。

Page 133: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 133PG150 2014 年 4 月 2 日

第 17 章 : コアのアーキテクチャ

図 17-5 に、 メモ リの初期化およびキャ リブレーシ ョ ンの各段階を含む全体的なフローを示します。

X-Ref Target - Figure 17-5

図 17‐5 : PHY 全体の初期化およびキャリブレーシ ョ ンのシーケンス

Page 134: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 134PG150 2014 年 4 月 2 日

第 18章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

クロッキングメモ リ インターフェイスには MMCM が 1 つ、TXPLL がメモ リ インターフェイスが使用する I/O バンクあたり 1 つ、BUFG が 2 つ、 BUFGCE が 1 つ必要です。 これらのク ロ ッキング コンポーネン ト を使用して、 適切なクロ ッ ク周波数と、 メモ リ インターフェイスの正常動作に必要な位相シフ ト を生成します。

TXPLL は各バンクに 2 つあ り ます。 1 つのバンクを 2 つのメモ リ インターフェイスで共用する場合、 そのバンクにある両方の TXPLL を使用します。

注記 : MIG によって適切なクロ ッキング構造が生成されますが、 RTL への変更はサポート されていません。

MIG ツールは目的とするインターフェイス向けに適切なク ロ ッキング構造を生成します。 この構造は変更できません。 可能なクロ ッ ク コンフ ィギュレーシ ョ ンは次のとおりです。

• GCIO に接続される差動基準クロ ッ ク ソース

• GCIO から MMCM (GCIO と同じバンク内に配置されたもの)

• MMCM から BUFG (MMCM と同じバンク内に配置されたもの)

• BUFG (MMCM 内) から BUFG (メモ リ インターフェイスの中央のバンクに配置されたもの)。 FPGA ロジッ クおよびすべての TXPLL を駆動

• BUFG (MMCM 内) から 2 分周モードの BUFGCE (メモ リ インターフェイスの中央のバンクに配置されたもの)。1/2 レートの FPGA ロジッ クを駆動

要件

GCIO

• 差動 I/O 規格を使用するこ と

• メモ リ インターフェイス と同じ I/O カラム内にあるこ と

MMCM

• MMCM は FPGA ロジッ クのシステム ク ロ ッ ク (メモ リ ク ロ ッ クの 4 分周) を生成する

• GCIO と同じバンク内にあるこ と

• 内部フ ィードバッ クを使用するこ と

• 入力分周器によって分周される入力クロ ッ ク周波数 70MHz (CLKINx/D 70MHz) であるこ と

• 整数倍の周波数および出力分周値を使用するこ と

Page 135: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 135PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

MMCM の BUFG およびクロック ルート

• MMCM と同じバンク内にあるこ と

BUFG/BUFGCE およびクロック ルート

• BUFGCE はシステム ク ロ ッ クを 2 分周するために使用する

• BUFGCE と BUFG およびクロ ッ ク ルートはメモ リ インターフェイスの も中央寄りのバンクに配置するこ と

° 2 バンク システムの場合、いずれのバンク も使用可能。 MIG は中央バンク と して、 GUI では常に選択された上位のバンクを参照

° 4 バンク システムの場合、中央寄りの 2 つのバンクのいずれも使用可能。 MIG は中央バンク と して、選択された 上位のバンクから 2 番目のバンクを参照

° BUFG と BUFGCE は同じバンク内にあるこ と

TXPLL

• TXPLL からの CLKOUTPHY は、 そのバンク内の XiPhy を駆動する

• 位相シフ ト 90° の CLKFBOUT を使用するよ うに TXPLL を設定するこ と

• MMCM ロ ッ ク出力が High に遷移するまで TXPLL を リセッ ト状態に保持するこ と

• 内部フ ィードバッ クを使用するこ と

Page 136: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 136PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

図 18-1 に、3 バンク メモ リ インターフェイスのクロ ッキング構造の例を示します。GCIO が 4 番目のバンクの MMCMを駆動し、 この MMCM がメモ リ インターフェイスの中央にあるバンク 2 へのクロ ッ クを BUFG を介して駆動します。 このク ロ ッ クは、 このバンクにある BUFG と BUFGCE の両方を駆動します。 BUFG の出力はインターフェイスの各バンクで使用する TXPLL を駆動します。

リセッ ト非同期のリセッ ト入力を使用できます。このアクティブ High のリセッ ト信号は、コン ト ローラー ク ロ ッ クの 20 サイクル以上アサートする必要があ り ます。

X-Ref Target - Figure 18-1

図 18‐1 : 3 バンク メモリ インターフェイスのクロッキング構造

Page 137: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 137PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

RLDRAM 3 の PCB ガイド ライン

概要

PCB ガイ ド ラ インの詳細は、 ザイ リ ンクスまでお問い合わせください。

ピンおよびバンクの規則

RLDRAM 3 のピン規則

こ こでは、 シングル ランク メモ リ インターフェイスの規則について説明しす。

• アドレス /制御とは cs_n、 ref_n、 we_n、 ba、 ck、 reset_n、 a を意味します。

• データ、 アドレス /制御などのすべてのグループおよびシステム ク ロ ッ ク インターフェイスは、 1 つのカラム内で選択する必要があ り ます。

• 1 バイ ト レーン内のピンには N0 から N12 の番号が付けられています。

• バンク内のバイ ト レーンは、 T0、 T1、 T2、 T3 で識別します。 バイ ト レーン内のニブルは、 バイ ト レーン識別子の末尾に付加される U または L 識別子で区別します。つま り、 T0L、 T0U、 T1L、 T1U、 T2L、 T2U、 T3L、 T3Uのよ うになり ます。

注記 :各バンクに 2 つの PLL があ り、コン ト ローラーはインターフェイスが使用するすべてのバンクで PLL を 1 つ使用します。

1. 読み出しクロ ッ ク (qk/qk_n)、 書き込みクロ ッ ク (dk/dk_n)、 dq、 qvld、 dm

a. 読み出しクロ ッ ク ペア (qkx_p/n) は L によって識別されるバイ ト レーンの下位ニブルの N0 および N1 ピンに配置する必要があ り ます。 qk/qk_n ペアに対応する dq は、 同じバイ ト レーン内の N12 を除いたピンのいずれかに配置します。 dq と dm のビッ トは、 バイ ト レーン内のピン N2 ~ N11 に配置する必要があ ります。

b. dm の 1 つのピンには、 x18 デバイスの場合は 9 ビッ ト 、 x36 デバイスの場合は 18 ビッ トが対応付けられます。 ピンは次に示すよ うに、 対応する dq バイ ト レーン内に配置する必要があ り ます。

- x18 デバイスの場合、dm[0] は dq[8:0] が割り当てられたバイ ト グループ内に、dm[1] は dq[17:9]内に割り当てます。

- x36 デバイスの場合、 dm[0] は dq[8:0] または dq[26:18] が割り当てられたバイ ト レーン内に割り当てます。 同様に、 dm[1] は dq[17:9] または dq[35:27] が割り当てられたバイ ト グループ内に割り当てます。

c. dk/dk_n は任意の P-N ペアに割り当てる必要があり ます。書き込みクロッ ク ペアだけをバイ ト レーン内に配置する必要があるオーバーフローの場合、 このクロッ ク ペアは 0/1 および 6/7 以外のピン ペアに配置します。

注記 : ピン 12 はピン ペアを構成しないため、 差動クロ ッ クには使用できないこ とに注意してください。

d. qvld 信号は、 ピン N2 ~ N12 に配置する必要があ り ますが、 これらのピンに対しては dq と dm の割り当ての方が優先されます。qvld0 信号は、バイ ト レーンのピン N11 (dm が無効で使用可能な場合)、または qk0/qk0_n データ バイ ト レーンのピン N12 に配置する必要があ り ます。 qvld1 信号は、 バイ ト レーンのピンN11 (使用可能な場合)、 または qk2/qk2_n データ バイ ト レーンのピン N12 に配置する必要があ り ます。

2. バイ ト レーンはデータまたはアドレス /制御のいずれかに設定します。

a. ピン N12 はデータ バイ ト レーン内でアドレス /制御用に使用できます。

b. アドレス /制御バイ ト レーン内にデータ信号 (qvalid、 dq、 dm) は配置できません。

Page 138: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 138PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

3. アドレス/制御は、 アドレス/制御バイ ト レーン内の 13 本のピンのいずれにも配置できます。 アドレス/制御は同じバンクに含める必要があり ます。 アドレス/制御は も中心寄りのバンク内に配置します。

4. 各バンクに 1 つの vr ピンがあ り、 DCI が必要です。 DCI カスケード接続は禁止です。 『UltraScale™ アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571) [参照 3] に記載された DCI に関するすべての規則に従う必要があ り ます。

5. ck はアドレス /制御バイ ト レーン内の PN ペアに配置する必要があ り ます。

6. reset_n は、 FPGA ロジッ クのタイ ミ ングおよび選択したバンクの I/O 規格 (LVCMOS12) を満たす限り、 いずれのピンに配置してもかまいません。

7. バンクは 2 つのコン ト ローラーで共有できます。

a. 各バイ ト レーンは特定のコン ト ローラーが専用で使用します (reset_n を除く )。

b. 一方のコン ト ローラーのバイ ト レーンを他方のコン ト ローラーのバイ ト レーン内に配置するこ とはできません。 たとえば、 コン ト ローラー A と B がある場合、 AABB は可能ですが、 ABAB は禁止です。

8. メモ リ インターフェイスが使用するすべての I/O バンクは、 同じカラム内になければなり ません。

9. 72 ビッ ト幅インターフェイスの場合、 インターフェイスの高さは 大でバンク 3 つ分です。

10. バンク スキップは禁止です。

11. インターフェイス内のマスター PLL の入力クロ ッ クは、 メモ リ インターフェイスで使用する I/O カラム内のクロ ッ ク機能を備えたペアによって供給する必要があ り ます。

12. 専用の VREF ピンがあ り ます (上記の規則には含まれていない)。外部 VREF を使用しない場合、VREF ピンは 500Ωの抵抗でグランドにプルダウンする必要があ り ます。 詳細は、 『UltraScale™ アーキテクチャ SelectIO™ リ ソースAdvance 仕様ユーザー ガイ ド』 (UG571) [参照 3] を参照して ください。 これらのピンは、 使用する規格に従って適切に接続します。

13. インターフェイスは同じ I/O バンク タイプ (High Range または High Performance) に含む必要があ り ます。バンクタイプの混用は、 上記手順 6 の reset_n と手順 11 で説明した入力クロ ッ クを除いて禁止です。

RLDRAM 3 のピン配置例

表 18-1 に、 1 つのバンクに含まれる 18 ビッ トの RLDRAM 3 インターフェイスの例を示します。 これは、 アドレスを多重化して x18 RLDRAM 3 コンポーネン ト を 1 つ使用するコンポーネン ト インターフェイスの例です。

表 18‐1 : 1 つのバンクに含まれる 18 ビッ トの RLDRAM 3 インターフェイス

バンク 信号名 バイ ト グループ I/O タイプ 特記

1 qvld0 T3U_12 – –

1 dq8 T3U_11 N –

1 dq7 T3U_10 P –

1 dq6 T3U_9 N –

1 dq5 T3U_8 P –

1 dq4 T3U_7 N DBC-N

1 dq3 T3U_6 P DBC-P

1 dq2 T3L_5 N –

1 dq1 T3L_4 P –

1 dq0 T3L_3 N –

1 dm0 T3L_2 P –

Page 139: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 139PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

1 qk0_n T3L_1 N DBC-N

1 qk0_p T3L_0 P DBC-P

1 reset_n T2U_12 – –

1 we# T2U_11 N –

1 a18 T2U_10 P –

1 a17 T2U_9 N –

1 a14 T2U_8 P –

1 a13 T2U_7 N QBC-N

1 a10 T2U_6 P QBC-P

1 a9 T2L_5 N –

1 a8 T2L_4 P –

1 a5 T2L_3 N –

1 a4 T2L_2 P –

1 a3 T2L_1 N QBC-N

1 a0 T2L_0 P QBC-P

1 – T1U_12 – –

1 ba3 T1U_11 N –

1 ba2 T1U_10 P –

1 ba1 T1U_9 N –

1 ba0 T1U_8 P –

1 dk1_n T1U_7 N QBC-N

1 dk1_p T1U_6 P QBC-P

1 dk0_n T1L_5 N –

1 dk0_p T1L_4 P –

1 ck_n T1L_3 N –

1 ck_p T1L_2 P –

1 ref_n T1L_1 N QBC-N

1 cs_n T1L_0 P QBC-P

表 18‐1 : 1 つのバンクに含まれる 18 ビッ トの RLDRAM 3 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 140: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 140PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

1 vr T0U_12 – –

1 dq17 T0U_11 N –

1 dq16 T0U_10 P –

1 dq15 T0U_9 N –

1 dq14 T0U_8 P –

1 dq13 T0U_7 N DBC-N

1 dq12 T0U_6 P DBC-P

1 dq11 T0L_5 N –

1 dq10 T0L_4 P –

1 dq9 T0L_3 N –

1 dm1 T0L_2 P –

1 qk1_n T0L_1 N DBC-N

1 qk1_p T0L_0 P DBC-P

表 18‐1 : 1 つのバンクに含まれる 18 ビッ トの RLDRAM 3 インターフェイス (続き)

バンク 信号名 バイ ト グループ I/O タイプ 特記

Page 141: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 141PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

プロ ト コルの説明このコアには、 次のインターフェイスがあ り ます。

• メモ リ インターフェイス

• ユーザー インターフェイス

• 物理インターフェイス

メモリ インターフェイス

RLDRAM 3 メモ リ インターフェイス ソ リ ューシ ョ ンは、 カスタマイズするこ とで複数のコンフ ィギュレーシ ョ ンをサポート します。 各コンフ ィギュレーシ ョ ンは、 コアの 上位の Verilog パラ メーターで定義します。

ユーザー インターフェイス

ユーザー インターフェイスは FPGA のユーザー デザインと RLDRAM 3 メモ リ ソ リ ューシ ョ ン コアを接続し、 ユーザーと外部メモ リ デバイス間のやり と り を簡略化します。

コマンド要求信号

ユーザー インターフェイスには、 メモ リ デバイスに対して読み出し /書き込みコマンドを発行するための信号があ ります。 表 18-2 にこれらの信号を示します。

表 18‐2 :ユーザー インターフェイスの要求信号

信号 方向 説明

user_cmd_en 入力 コマンド イネーブルです。読み出しまたは書き込み要求を発行し、 対応するコマンド信号が有効であるこ とを示します。

user_cmd[2 × CMD_PER_CLK – 1:0] 入力 コマンドです。 読み出し、 書き込み、 または NOP 要求を発行します。 user_cmd_en がアサート される と次のよ うにな り ます。

2'b00 : 書き込みコマンド

2'b01 : 読み出しコマンド2'b10 : NOP2'b11 : NOP

ク ロ ッ ク サイ クルごとに複数のコマンドを メモ リ コント ローラーへ提供する必要があるが、 すべてのコマンドスロ ッ ト が必要ではない場合、 NOP コマン ドが有用です。 メモ リ コン ト ローラーは、その他のコマンドに対応して NOP は無視します。CMD_PER_CLK が 1 の場合 NOP はサポー ト されません。 CMD_PER_CLK は、 FPGA ロジッ ク ク ロ ッ ク サイクルご とにコン ト ローラーへ与えられる メモ リ コマンド 数 を 決 定 す る 上 位 の パ ラ メ ー タ ー で、nCK_PER_CLK およびバース ト長に依存します (図 18-2参照)。

user_addr[CMD_PER_CLK × ADDR_WIDTH – 1:0] 入力 コマンド アドレスです。コマンド要求に使用するアドレスを表します。 user_cmd_en がアサート される と有効になり ます。

Page 142: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 142PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

user_ba[CMD_PER_CLK × BANK_WIDTH – 1:0] 入力 コマンド バンク アド レスです。 書き込み要求に使用するアドレスを表します。 user_cmd_en がアサート される と有効になり ます。

user_wr_en 入力 書き込みデータ イネーブルです。書き込みデータおよびデータ マスクを発行します。対応する user_wr_* 信号が有効であるこ とを示します。

user_wr_data[2 × nCK_PER_CLK × DATA_WIDTH – 1:0] 入力 書き込み データです。 書き込み要求に使用するデータで、 結合される立ち上がりおよび立ち下がりデータで構成されます。user_wr_en がアサート される と有効になり ます。

user_wr_dm[2 × nCK_PER_CLK × DM_WIDTH – 1:0] 入力 書き込みデータのマスクです。 アクティブ High の場合、選択したデバイスの書き込みデータがマスク され、 メモリに書き込まれません。user_wr_en がアサート されると有効になり ます。

user_afifo_empty 出力 アドレス FIFO Empty です。 アサート された場合、 コマンド バッファーが空であるこ とを示します。

user_wdfifo_empty 出力 書き込みデータ FIFO Empty です。アサート された場合、書き込みデータ バッファーが空であるこ とを示します。

user_afifo_full 出力 アドレス FIFO Full です。 アサート された場合、 コマンド バッファーがフルであるこ とを示し、ディアサート されるまで FIFO への書き込みが無視されます。

user_wdfifo_full 出力 書き込みデータ FIFO Full です。アサート された場合、書き込みデータ バッファーがフルであるこ とを示し、ディアサー ト される まで FIFO への書き込みが無視されます。

user_afifo_aempty 出力 アドレス FIFO Almost Empty です。アサート された場合、コマンド バッファーがほぼ空であるこ とを示します。

user_afifo_afull 出力 アド レス FIFO Almost Full です。 アサー ト された場合、コマンド バッファーがほぼフルであるこ とを示します。

user_wdfifo_aempty 出力 書き込みで FIFO Almost Empty です。 アサート された場合、書き込みデータ バッファーがほぼ空であるこ とを示します。

user_wdfifo_afull 出力 書き込みデータ FIFO Almost Full 信号です。 アサート された場合、書き込みデータ バッファーがほぼフルであるこ とを示します。

user_rd_valid[nCK_PER_CLK – 1:0] 出力 読み出し有効です。 メ モ リ か ら読み出し たデータがuser_rd_data で有効で、サンプル可能になったこ とを示します。

user_rd_data[2 × nCK_PER_CLK × DATA_WIDTH – 1:0] 出力 読み出しデータです。 読み出しコマンドから読み出したデータです。

init_calib_complete 出力 キャ リ ブレーシ ョ ン完了です。 読み出しキャ リ ブレーシ ョ ンが完了し、 要求を実行できる状態になったこ とをユーザー デザインに応答します。

mem_ck_lock_complete 出力 メモリ ク ロ ッ クのロ ッ ク完了です。メモ リへ駆動されるク ロ ッ ク の ノ イ ズ が 小 と な る よ う に、mem_ck_lock_complete がアサート されるまでシステムをノ イズがない状態に保つ必要があ り ます。

表 18‐2 :ユーザー インターフェイスの要求信号 (続き)

信号 方向 説明

Page 143: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 143PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

ユーザー インターフェイスを介したコアとのインターフェイス

特定のユーザー インターフェイス信号の幅は、 システム ク ロ ッ ク周波数およびバース ト長で決ま り ます。 このためク ライアン トは、 一部のコンフ ィギュレーシ ョ ンの要件に応じて FPGA ロジッ クの 1 ク ロ ッ ク サイ クルに複数のコマンドを送信できるよ うになり ます。

図 18-2 は user_cmd 信号を示し、 コンフ ィギュレーシ ョ ンに応じてこの信号がどのよ うに複数のコマンドで構成されるかを表しています。

X-Ref Target - Figure 18-2

図 18‐2 : user_cmd 信号の複数のコマンド

Page 144: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 144PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

図 18-3 に、 RLDRAM 3 の 4 ワード バース ト アーキテクチャに対応するユーザー インターフェイス プロ ト コルを示します。

要求が受け入れ可能となる前に、 ui_clk_sync_rst 信号を Low にディアサートする必要があ り ます。 ui_clk_sync_rst 信号のディアサート後、ユーザー インターフェイス FIFO はコマンドおよびデータを受信し格納できるようになり ます。 メモ リの初期化プロセスおよび PHY のキャ リブレーシ ョ ンが完了する と、 init_calib_complete信号がアサート され、 コアはク ライアン ト要求の処理を開始できます。

X-Ref Target - Figure 18-3

図 18‐3 : RLDRAM 3 ユーザー インターフェイス プロ ト コル (4 ワード バースト アーキテクチャ )

Page 145: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 145PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

user_cmd_en を 1 サイ クル パルス と してアサー トする と コマン ド要求が発行されます。 このと き、 user_cmd、user_addr、user_ba 信号がいずれも有効である必要があ り ます。読み出し要求を発行するには user_cmd を 2'b01に、 書き込み要求を発行するには user_cmd を 2'b00 に設定します。 書き込み要求では、 user_wr_en 信号を Highにアサー ト する こ とで、 コマン ド と同じサイ クルでデータが発行され、 有効なデータが user_wr_data およびuser_wr_dm に現れます。図 18-4 に、RLDRAM 3 の 8 ワード バース ト アーキテクチャに対応するユーザー インターフェイス プロ ト コルを示します。

読み出しコマンドがしばら く して発行される と (システムのコンフ ィギュレーシ ョ ンおよびレイテンシに基づく )、user_rd_valid[0] 信号がアサート されて user_rd_data が有効であるこ とを示すと同時に user_rd_valid[1]がアサート されて user_rd_data が有効であるこ とを示します (図 18-5)。 コアは返されたデータをバッファーしないため、読み出しデータは user_rd_valid[0] および user_rd_valid[1] がアサート されたのと同じサイクルでサンプルする必要があ り ます。 この機能は、 ユーザーが必要に応じて追加できます。

X-Ref Target - Figure 18-4

図 18‐4 : RLDRAM 3 ユーザー インターフェイス プロ ト コル (8 ワード バースト アーキテクチャ )

Page 146: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 146PG150 2014 年 4 月 2 日

第 18 章 : コアを使用するデザイン

user_rd_valid 信号がすべて一緒にアサート されて 大幅のデータが返されるよ うに、 メモ リ コン ト ローラーはコマンドを PHY への特定のスロ ッ トにしか与えませんが、 コン ト ローラーの変更に備えて追加の user_rd_valid信号が提供されます。

物理インターフェイス

物理インターフェイスは、 FPGA メモ リ インターフェイス ソ リ ューシ ョ ンを外部 RLDRAM 3 デバイスに接続する部分です。 表 18-3 に、 このインターフェイスで使用される I/O 信号を説明します。 これらの信号は、 RLDRAM 3 デバイスの対応する信号へ直接接続できます。

X-Ref Target - Figure 18-5

図 18‐5 :ユーザー インターフェイス プロ ト コルの読み出しデータ

表 18‐3 :物理インターフェイスの信号

信号 方向 説明

rld_ck_p 出力 システム ク ロ ッ ク CK です。 メモ リ デバイスへ供給されるアドレス /コマンド ク ロ ッ クです。

rld_ck_n 出力 システム ク ロ ッ ク CK# です。 メモ リ デバイスへ供給される反転システム ク ロ ッ クです。

rld_dk_p 出力 書き込みクロ ッ ク DK です。 メモ リ デバイスへ供給される書き込みクロ ッ クです。

rld_dk_n 出力 書き込みクロ ッ ク DK# です。 メモ リ デバイスへ供給される反転書き込みクロ ッ クです。

rld_a 出力 アドレスです。 メモ リの操作で使用するアドレスです。

rld_ba 出力 バンク アドレスです。 メモ リの操作で使用するバンク アドレスです。

rld_cs_n 出力 チップ セレク ト CS# です。 メモ リのアクティブ Low のチップ セレク ト制御信号です。

rld_we_n 出力 書き込みイネーブル WE# です。 メモ リのアクティブ Low の書き込みイネーブル制御信号です。

rld_ref_n 出力 リ フレッシュ REF# です。 メモ リのアクティブ Low のリ フレッシュ制御信号です。

rld_dm 出力 データ マスク DM です。 アクテ ィブ High のマスク信号です。 FPGA によって駆動され、書き込みコマンド中にメモ リへ書き込みたくないデータをマスク します。

rld_dq 入力/出力 データ DQ です。双方向データ ポートです。書き込みの場合は FPGA によって、読み出しの場合はメモ リによって駆動されます。

rld_qk_p入力 読み出しクロ ッ ク QK です。 rld_dq の読み出しデータに揃えられたメモ リ エッジから返さ

れる読み出しクロ ッ クです。 PHY は QK# と このクロ ッ クを併用して rld_dq の読み出しデータをサンプルします。

rld_qk_n 入力 読み出し ク ロ ッ ク QK# です。 メモ リから返される反転した読み出し ク ロ ッ クです。 PHYは QK と このクロ ッ クを併用して rld_dq で読み出しデータをサンプルします。

rld_reset_n 出力 RLDRAM 3 リセッ トです。RLDRAM 3 デバイスに対するアクティブ Low のリセッ トです。

Page 147: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 147PG150 2014 年 4 月 2 日

第 19章

デザイン フローの手順この章では、 コアのカスタマイズ、 生成、 制約、 およびこの IP コアに特有のシ ミ ュレーシ ョ ン、 合成、 インプ リ メンテーシ ョ ンの手順について説明します。 Vivado® IP インテグレーターを使用した標準的な Vivado デザイン フローの詳細は、 次の Vivado Design Suite ユーザー ガイ ドに記載されています。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7]

コアのカスタマイズと生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

IP インテグレーターを使用したコアのカスタマイズおよび生成については、『Vivado Design Suite ユーザー ガイ ド : IPインテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。IP インテグレーターは、デザインの検証または生成時に、一部の設定値を自動的に計算する場合があ り ます。値の変化の有無を確認するには、この章のパラ メーターの説明を参照してください。パラ メーター値を確認するには、Tcl コンソールから validate_bd_design コマンドを実行してください。

Vivado 統合設計環境 (IDE)

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] および 『Vivado Design Suite ユーザー ガイ ド : スタート アップ ガイ ド』 (UG910) [参照 6] を参照してください。

MIG I/O プランニング

I/O プランニングの詳細は、 73 ページの 「MIG I/O プランニング」 を参照して ください。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 148: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 148PG150 2014 年 4 月 2 日

第 19 章 :デザイン フローの手順

コアへの制約こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

このセクシ ョ ンは、 この IP コアには適用されません。

デバイス、 パッケージ、 スピード グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

ク ロ ッキングの詳細は、 134 ページの 「ク ロ ッキング」 を参照して ください。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバー配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP コアには適用されません。

シミ ュレーシ ョ ンこ こでは、 Vivado Design Suite で IP シ ミ ュレーシ ョ ンを実行する方法について説明します。 Vivado シ ミ ュレーシ ョ ンコンポーネン トについて、またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザーガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照してください。

Page 149: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 149PG150 2014 年 4 月 2 日

第 19 章 :デザイン フローの手順

合成とインプリ メンテーシ ョ ンこ こでは、 Vivado Design Suite で合成およびインプ リ メ ンテーシ ョ ンを実行する方法について説明します。 合成と インプリ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 150: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 150PG150 2014 年 4 月 2 日

第 20章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

Vivado は Open IP Example Design フローをサポート しています。このフローを使用してサンプル デザインを作成するには、 図 20-1 に示すとおり [Sources] ビューの IP を右ク リ ッ ク して、 [Open IP Example Design] をク リ ッ ク します。

このオプシ ョ ンでは新しい Vivado プロジェク トが作成されます。 メニューを選択する と、 新規デザイン プロジェクト を格納するディ レク ト リ情報を入力するダイアログ ボッ クスが表示されます。

ディ レク ト リ を選択して (またはデフォルト を使用) [OK] をク リ ッ ク します。 これによ り、 すべてのサンプル デザイン ファ イルと IP のコピーを含む新しい Vivado プロジェク トが起動します。 このプロジェク トでは、 example_topがインプ リ メンテーシ ョ ンの 上位ディ レク ト リ、 sim_tb_top がシ ミ ュレーシ ョ ンの 上位ディレク ト リです (図 20-2)。

X-Ref Target - Figure 20-1

図 20‐1 : IP サンプル デザインを開く

Page 151: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 151PG150 2014 年 4 月 2 日

第 20 章 :サンプル デザイン

サンプル デザインのシミ ュレーシ ョ ン (標準的なユーザー インターフェイスを備えるデザイン)サンプル デザインは、 メモ リ コン ト ローラー駆動用の固定された単純なデータ パターンを生成する、 合成可能なテス トベンチを提供します。 このテス トベンチは IP ラ ッパー、 および書き込みと読み出しをそれぞれ 10 回ずつ生成する example_tb から構成されます。 IP からデザインを生成する際に、 メモ リ モデル ファ イルは生成されません。 メモ リ モデルは Micron® 社のウェブサイ トからダウンロードする必要があ り ます。

重要 : ザイ リ ンクス® UNISIMS_VER および SECUREIP ライブラ リ をシ ミ ュレータにマッピングする必要があり ます。

シ ミ ュレーシ ョ ンは、 次のディ レク ト リから開始します。

<project_dir>/example_project/<Component_Name>example/<Component_Name>_example.srcs/sim_1/imports/<Component_Name>/tb

Vivado IDE の [Component Name] に 「mig_0」 と入力して MIG デザインを生成した場合、 シ ミ ュレーシ ョ ンのディ レク ト リ パスは次のよ うにな り ます。

<project_dir>/example_project/mig_0_example/mig_0_example.srcs/sim_1/imports/mig_0/tb

上記のディ レク ト リにある メモ リ モデルをコピーし、 シ ミ ュレーシ ョ ンの実行方法については同フォルダーにあるreadme.txt ファ イルを参照してください。

X-Ref Target - Figure 20-2

図 20‐2 :サンプル デザインのプロジェク ト

Page 152: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 152PG150 2014 年 4 月 2 日

第 20 章 :サンプル デザイン

ソフ ト ウェア リ リースごとに、Questa® SIM シ ミ ュレーシ ョ ン ツールを使用して MIG IP が検証されます。Questa SIMでシ ミ ュレーシ ョ ンを実行するためのスク リプ ト ファ イルは、MIG の出力内に生成されます。MIG デザインは Vivadoシ ミ ュレータでは検証されていません。 MIG IP のシ ミ ュレーシ ョ ンにはその他のシ ミ ュレーシ ョ ン ツールも使用できますが、 ザイ リ ンクスでは特にこれらを検証していません。

Page 155: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 155PG150 2014 年 4 月 2 日

付録 A

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ヒン ト : IP 生成の生成にエラーが発生し停止した場合、 ライセンスに問題がある可能性があ り ます。 詳細は、 第 1 章の 「ライセンス チェッカー」 を参照してください。

ザイリンクス ウェブサイ トでのヘルプへのアクセスMIS を使用した設計およびデバッグでヘルプが必要な場合は、ザイ リ ンクス サポート ウェブ ページから製品の資料、リ リース ノート 、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは MIS に関する主要資料です。 このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、 ザイ リ ン ク ス サポー ト ウ ェブ ページ (http://japan..xilinx.com/support/) またはザイ リ ン ク スの DocumentationNavigator から入手できます。

Documentation Navigator は、 ダウンロード ページ (http://japan.xilinx.com/download) の [デザイン ツール] タブからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照して ください。

ソリューシ ョ ン センター

デザイン サイ クルの全段階におけるデバイス、 ソフ ト ウェア ツール、 IP のサポートについては、 ザイ リ ンク ス ソリ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト、 アドバイザリ、 ト ラブルシュートのヒ ン ト といった項目があ り ます。

MIS コア関連のサポート情報は、 ザイ リ ンクス MIG ソ リ ューシ ョ ン センターを参照してください。

アンサー

アンサーには、 よ く発生する問題についてその解決方法、およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。アンサーは、ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

Page 156: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 156PG150 2014 年 4 月 2 日

付録 A :デバッグ

MIS に関するマスター アンサー

AR : 58435

テクニカル サポート

ザイ リ ンクスでは、 製品資料に記述されているよ うに、 japan.xilinx.com/supoorot からこの LogiCORE™ IP 製品のテクニカル サポート を提供しています。資料で定義されていないデバイスにインプリ メン ト した り、製品資料で記述されている範囲を超えてカスタマイズした り、 あるいは 「DO NOT MODIFY」 と記述されているデザイン セクシ ョ ンに変更を加えたり した場合、 タイ ミ ング、 機能、 製品サポートは保証されません。

テクニカル サポートへのお問い合わせ方法は、 次のとおりです。

1. http://japan.xilinx.com/support/ にアクセスします。

2. 「その他のリ ソース」 の下のウェブケースを作成リ ンクをク リ ッ ク し、 ウェブケースを開きます。

ウェブケースを作成する際は、 次の情報を含めてください。

• パッケージおよびデバイス スピード グレードを含むターゲッ ト FPGA の情報

• 該当するすべてのザイ リ ンクス デザイン ツールとシ ミ ュレータのソフ ト ウェア バージ ョ ン

• 問題によっては、ファ イルの追加を求められる場合があ り ます。ウェブケースに含める特定ファイルについては、この資料の関連セクシ ョ ンを参照して ください。

注記 :すべての問題がウェブケースの利用対象になるわけではあ り ません。 ウェブケース ツールにログインしてサポート オプシ ョ ンを確認してください。

デバッグ ツールMIS デザインの問題を解決するには、 数多くのツールを利用できます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado ラボ ツール

Vivado® ラボ ツールは、 Logic Analyzer および Virtual I/O コアをユーザーのデザインに直接挿入します。 Vivado ラボツールを使用する と、 ト リ ガー条件を設定して、 ハード ウェアでアプリ ケーシ ョ ンおよび統合ブロッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、ザイ リ ンクス デバイスで実行されるデザインの論理デバッグと検証に使用されます。

Vivado ロジッ ク アナライザーは次の論理デバッグ IP コアと共に使用されます。

• ILA 2.0 以降

• VIO 2.0 以降

詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 9] を参照してください。

ハードウェアのデバッグハードウェアの問題は、 リ ンク立ち上げ時の問題から、 何時間ものテス トの後に発生する問題までさまざまです。 ここでは、 一般的な問題のデバッグ手順を説明します。 Vivado ラボ ツールは、 ハード ウェア デバッグに有益な リ ソースです。 次の各セクシ ョ ンに示す信号を Vivado ラボ ツールでプローブするこ とで、 個々の問題をデバッグできます。

Page 157: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 157PG150 2014 年 4 月 2 日

付録 A :デバッグ

汎用チェ ック

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、さ らにインプリ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• デザインで MMCM を使用している場合、 locked ポート をモニターして、 すべての MMCM がロ ッ ク しているこ とを確認します。

• 出力が 0 になった場合は、 ライセンスを確認してください。

• DDR3 または DDR4 インターフェイスで問題が発生した場合、ハード ウェア接続時に Vivado の Tcl コンソールから次のコマンドを実行します。

refresh_hw_device [lindex [get_hw_devices] 0]

report_property [lindex [get_hw_migs] 0]

• レポートに出力されたすべてのデータをコピーして、 ウェブケースの作成時に添付してください。 ウェブケース作成に関する詳細は、 156 ページの 「テクニカル サポート 」 を参照してください。

Page 158: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 158PG150 2014 年 4 月 2 日

付録 B

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

ザイ リ ンクスの資料で使用されている技術用語については、 ザイ リ ンクス用語集を参照してください。

参考資料次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

1. 『DDR3 SDRAM 規格』 (JESD79-3F) および 『DDR4 SDRAM 規格』 (JESD79-4)、 JEDEC® Solid State TechnologyAssociation (JEDEC 半導体技術協会)

2. 『Kintex® UltraScale アーキテクチャ データシート : DC および AC スイ ッチ特性』 (DS892)

3. 『UltraScale アーキテクチャ SelectIO™ リ ソース Advance 仕様ユーザー ガイ ド』 (UG571)

4. 『Vivado® Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

5. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

6. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910)

7. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

8. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

9. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

10. 『Vivado Design Suite 移行手法ガイ ド』 (UG911)

Page 159: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 159PG150 2014 年 4 月 2 日

付録 B : その他のリソースおよび法的通知

改訂履歴次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2014 年 4 月 2 日 5.0 • 「IP 情報」 の表に Verilog テス トベンチを追加。DDR3/DDR4

• 「概要」 の章を追加。

• 「機能一覧」 のセクシ ョ ンのコンポーネン ト サポート を 80 ビッ トに更新。

• DDR のデバイス使用量の表を更新。

• DDR ク ロ ッキングのセクシ ョ ンを更新。

• 「コアを使用するデザイン」 の章の x4 DRAM を 4 コンポーネン ト DRAM 構成に更新。

• DDR3 および DDR4 の 「PCB ガイ ド ラ イン - 概要」 の重要な注記を更新。

• DDR3 および DDR4 の「リ ファレンス スタ ッ クアップ」の重要な注記を更新。

• DDR3 および DDR4 の ト レース長に関する説明を更新。

• DDR3 および DDR4 の 「一般的な配線ガイ ド ライン」 に VTT 終端のガイ ド ラインを追加。

• 制限事項のセクシ ョ ンのすべての説明を更新。

• 「必須の制約」 に VREF に関する注記を追加。

• 「デザイン フローの手順」 の章の図を更新。

• 「サンプル デザイン」 の章に新規説明を追加。

• 「テス トベンチ」 の章に新規説明を追加。QDR II+ SRAM

• QDR II の新規セクシ ョ ンを追加。RLDRAM 3

• 「概要」 の章を追加。

• 「ク ロ ッキング」 の新規セクシ ョ ンを追加。

• 「サンプル デザイン」 の章に新規説明を追加。

付録

• デバッグに関する付録を更新。

2013 年 12 月 18 日 4.2 初版

Page 160: LogiCORE IP UltraScale...UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 8 PG150 2014 年 4 月 2 日 第1 章 概要 ザイリンクス UltraScale アーキテクチャには、DDR3/DDR4

UltraScale アーキテクチャ ベース FPGA MIS japan.xilinx.com 160PG150 2014 年 4 月 2 日

付録 B : その他のリソースおよび法的通知

法的通知The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extentpermitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications. You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent. Certain products are subject to the terms and conditions of Xilinx's limited warranty,please refer to Xilinx's Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx. Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx'sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2013–2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, UltraScale, Virtex, Vivado, Zynq, and other designatedbrands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respectiveowners.

本資料は英語版 (v5.0) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] までお知らせください。

いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ま

せん。 あらかじめご了承ください。