697

Архитектура компьютера

Embed Size (px)

DESCRIPTION

В основе первых трех изданий книги лежит идея о том, что компьютер можно рас-сматривать как иерархию уровней, каждый из которых выполняет какую-либоопределенную функцию. Это фундаментальное утверждение сейчас столь же пра-вомерно, как и в момент выхода в свет первого издания, поэтому мы по-прежнемуберем его за основу, на этот раз уже в четвертом издании. Как и в первых трехизданиях, в этой книге мы подробно описываем цифровой логический уровень,уровень архитектуры команд, уровень операционной системы и уровень языка ас-семблера (хотя мы изменили некоторые названия, чтобы следовать современнымустановившимся обычаям).В целом структура книги осталась прежней, но в четвертое издание внесенынекоторые изменения, что объясняется стремительным развитием компьютернойпромышленности. Например, все программы, которые в предыдущих изданияхбыли написаны на языке Pascal, в четвертом издании переписаны на язык Java,чтобы продемонстрировать популярность языка Java в настоящее время. Крометого, в качестве примеров в книге рассматриваются более современные машины(Intel Pentium II, Sun UltraSPARC II и Sun picojava II).Мультипроцессоры и компьютеры параллельного действия получили широкоераспространение, поэтому материал, связанный с архитектурами параллельно-го действия, был полностью переделан и значительно расширен. В этой книге мызатрагиваем широкий диапазон тем от мультипроцессоров до кластеров рабочихстанций.

Citation preview

  • COmPUTER SCIENCE

    .

    4-

    ^ -

    --

    2003

  • 15

    1. 18

    2. 56

    3. 139

    4. 230

    5. 334

    6. 437

    7. 517

    8. 556

    9. 647

    . 663

    . 674

    683

  • 14 15

    1. 18 18

    , 19 21 24

    29 (1642-1945) 29 (1945-1955) ;.... 32 (1955-1965) 35 (1965-1980) 37 (1980-?) 39

    40 41 42

    45Pentium II 45UltraSPARC II 48PicoJavall 50

    52 54

    2. 56 56

    57 58RISC CISC 62 64 65 69

    73 73 74 75 77- 81 84

  • 85 85 87 90 IDE 91SCSI- 92RAID- 93- 98CD-R 102CD-RW 105DVD 105

    - 108 108 111 119 121 126 129

    133 134

    3. 139 139

    139 142 144 145

    149 149 151 157 161

    163 163 (flip-flops) 165 168 168 172 174

    177 177 179 182 183 188 191

    193Pentium II 193UltraSPARC II 200PicoJavall 203

  • 8 ^

    205 ISA 206 PCI 207 USB 215

    219 - 219 220

    223 224

    4. 230 230

    231 237 : Mic-1 240

    : IJVM 244 245 IJVM 247 IJVM 248 Java IJVM 252

    254 254 IJVM Mic-1 258

    271 271 274 : Mic-2 280 : Mtc- 284 7 : Mic-4 290

    293- 294 300 306 311

    314 Pentium II 314 UltraSPARC II 319 picoJava II 322 Pentium, UltraSPARC picoJava 327

    329 330

    5. 334 336

    336 338 340 342 Pentium II 342 UltraSPARC II 345 Java 348

  • 9

    349 350 351 Pentium II 351 UltraSPARC II 352 Java 352

    353 354 356 Pentium II 358 UltraSPARC II 360 361

    364 365 365 366 366 366 367 369 369 372 373 Pentium II 375 UltraSPARC II 377 JVM 377 378

    379 379 380 381 383 385 385 - 386 Pentium 390 UltraSPARC II 394 picoJava II 397 403

    404 404 405 410 412 413

    417 Pentium II 418 UltraSPARC II 419 JVM 421

  • 10

    Intel IA-64 423 Pentium II 423 IA-64: 425 426 429 430

    430 431

    6. 437 438

    439 441 444 445 448 449 452 Pentium II 455 UltraSPARC II 460 463

    - 463 464 - 465 469 470 471 472 476

    479 480 489 - 493 504

    510 511

    7. 517 518

    ? 518 ? 519 521 524

    527, 527 529 530 530

    531 531 532

  • 11

    536 537

    538 540 543 545 547

    551 552

    8. 556

    557 559 564 572 574 579 584

    SIMD 587- 587 588

    592 593 UMASMP 597 UMA 603 UMAc 605 NUMA 607 CC-NUMA 609 619

    621 622COW Clusters of Workstations { ) 626 627 632 635

    642 643

    9. 647 647

    648 649 649 650 651 652 652 653

    654

  • 12

    . 665 665 667 669 670 673 674

    . 676 676 IEEE 754 680 683

    685

  • , , ,

  • . -

    -

    . -

    , .

    ( -, -

    , ). .

    , ,

    .

    , -

    . 85 -, , 5 .

    .

    Amsterdam Compiler Kit ( - ), MINIX ( UNIX ). -

    Amoeba ( ). MINIX Amoeba .

    .

    .

    ,

    (IEEE), . 1994 - . 1997 -

    ( ) . (Who'sWho in the World). http://www.cs.vu.nl/~ast/.

  • , -

    , -

    . -

    , , -

    , .

    , ,

    , -

    ( , ).

    ,

    ,

    . , ,

    Pascal, Java, Java . ,

    (Intel Pentium II, Sun UltraSPARC II Sun picojava II).

    , , -

    , .

    .

    ( , - ). , .

    , ,

    , . -

    , ( - ) , , ,

    .

    1 - ,

    . : Pentium II,UltraSPARC II picojava II.

    .

    -: RAID, CD-R, DVD, - . .

  • 16

    3 ( )

    -. ( , PCI USB). .

    4 ( ) - .

    ,

    JVM. -. -

    . , Mic-4, - .

    (, Pentium II). - , -

    (, , , ). .

    5 ( ) - .

    Pentium II, UltraSPARC II JVM. 6 ( )

    Pentium II (Windows NT) UltraSPARC II (UNIX). - . , -

    . UNIX , , , , -

    .

    7 ( ) , . ,

    .

    8 ( ) -. (UMA, NUMA ) ( COW).

    . , -

    , .

    ,

    .

    , , , -

    .

    web- . PostScript . . , -

    .

    : http://www.cs.vu.nl/~ast/sco4/ (Ray Ontko).

    .

    ,

    - ,

    (Henri Bal), (Alan Charlesworth), -

  • 17

    (Koorosh Gharachorloo), (Marcus Goncalves), - (Karen Panetta Lentz), (Timothy Mattson), - (Harlan McGhan), (Miles Murdocca), - (Kevin Normoyle), ' (Mike O'Connor), (Mitsunori Ogihara), (Ray Ontko), (Aske Plaat), (William Potvin II), (Nagarajan Prabhakaran), . (James H. Pugsley), . (RonaldN. Schroeder), (Ryan Shoemaker), -. (Charles Silio, Jr.) - (Dale Skrien). (Adriaan Bon), (Laura de Vries), (Dolf Loth), (Guido van't Noordende) , .

    Qim Goodman) ( , ). JVM , JVM . . -

    .

    , ,

    , Pentium'oM. , Pentium , IBM-386, .

    , , ,

    , .

    .

    5 6 8 0 6 9

  • 1

    , , -

    . , -

    , .

    . -

    -

    , :

    2 ; , ; .

    ,

    . . -

    , -

    . ,

    , .

    , -

    .

    , .

    -

    ,

    . -

    . .

    . ,

    . -

    , .

    , , -

    , , . X, Y. - . , .

  • 19

    ,

    . -

    , , -

    . ,

    1. , 0. , - 0. , , -

    1. , 1,

    0. - , 0, -, 1. .

    0, -, 1, , 0. 0. , , ,

    .

    . -

    0, - 1. , 1 - 0, 1 , 0 .

    1 0 . , -

    0, -. , .

    -

    ,

    1, . - 1, 0 0. 1 , 0, -, 0, . 1, -. -

    , .

    , 0, .

    , ,

    .

    , 0 1 . , 1 , 0, . , -

    1 -

  • 20 1.

    , , .

    .

    , -

    -

    , 1. , 2, 2. 2,

    2 . - 1, , 1.

    , -

    , , , -

    .

    , ,

    . 1.1. , , .

    3

    2

    1

    3 3

    2 2

    1 1

    1 -

    ,

    ,

    1 -

    ,

    1 0, 1

    1 -

    ,

    0,

    0

    . 1.1.

    . -

    - ,

    , . ,

    . ,

    , . , -

    , ,

    . C++ COBOL , , -

  • 21

    . -

    : .

    , . -

    . ,

    0, . , 1, 2,..., , , -

    .

    , , -

    .

    , ,

    .

    : .

    , ,

    , ,

    . , , -

    , . , -

    ( ), . -

    ,

    .

    . -

    (. 1.2). 0 - . ,

    1. -, 0. . 1.2, , ,

    . .

    , .

    , , .

    , ,

    . , -

    , .

    (, - 0 1). , . .

    1 , 0 1. -, , , 16,32 64, . - .

    . -

    3.

  • 22 1.

    5

    4

    3

    2

    1

    ()

    ()

    ()

    ()

    . 1.2. . .

    .

    8 32 , , (- ). - .

    , . -

    . ,

    - , ,

    .

    -

    , .

    . -

    ,

    .

    ,

    , .

    , ,

    2. ,

    . , ADD, - , , ,

    . -

    , ,

    2.

  • 23

    .

    , -

    ,

    Western Wombat Model 100X . . . -

    , , -

    - .

    , -

    , .

    .

    (, , ). - : , ,

    . ,

    .

    , , -

    , . -

    . , -

    , ,

    . , -

    , .

    . -

    .

    .

    , .

    , -

    . -

    ,

    .

    , .

    , 4, , - . 2 3 , 4,5 , , .

    1,2,3 4,5 . 1,2 3 . , , , ,

    . , -

    , .

    -

    .

    . 1, 2 3, . , , -

    .

  • 24 1.

    , -

    . .

    . BASIC, , C++,Java, LISP Prolog. , , - 3 4. , , - . , -

    . , Java .

    , .

    , , -

    .

    : , - .

    . -

    , -

    .

    , -

    . , . -

    , , -

    , . (, ) . , , -

    , . -

    .

    ,

    , . , -

    ( 1), ( 0), -. - -

    .

    , , , -

    , . ,

    .

    , , ( - , , ) - , .

    , , - , -

    , ,

    , .

    -

    . , , -

    , ,

  • 25

    , .

    .

    :

    .

    , , -

    ( , ). ; . , : , -

    , -

    .

    , , , ,

    . , ,

    X , a Y .

    .

    1940- 2 : , , -

    , .

    .

    1951 , - , - ,

    [158]. - (), . -

    -

    , -

    .

    ,

    , , .

    50- . 60- . 70- , , -

    , .

    , ,

    . -

    . ,

    , - , -

    , 3 5 ( ). , , , , -

    . 80 ;

  • 26 1

    . , -

    .

    FORTRAN, :

    1. , , FORTRAN, .

    2. , - FORTRAN, . .

    3. , - . -

    ,

    .

    .

    4. . , ,

    -

    . , -

    .

    5. -

    .

    6. . - . -

    .

    , , -

    FORTRAN, . ,

    ,

    .

    . -

    , ,

    , .

    , ,

    .

    60- , .

    .

    , -

    . 1.3 - FMS (FORTRAN Monitor System) IBM-709.

  • 27

    'JOB, 5494, BARBARA"XEQFORTRAN

    FORTRAN

    DATA

    END

    . 1.3. FMS

    *JOB - ( , ). *FORTRAN, - FORTRAN -. ,

    FORTRAN. , *DATA - .

    ,

    ( ), . -

    . *FORTRAN , *DATA . ,

    .

    -

    . , -

    , .

    , ( -) . - .

    .

    .

  • 28 1.

    . , .

    .

    60- - () , - . -

    .

    ,

    . -

    , (, - ). ( ) .

    , -

    , , -

    .

    1970 , , -

    , .

    , -

    , -

    . ,

    , ,

    . , -

    INC (INCrement), . ADD, - , . INC , ADD, .

    .

    :

    1. .2. .3. .4. .5. . , ,

    ,

    . :

    1. ( ).2.

    ().

  • 29

    3. , , -

    4. , ( ).

    -

    .

    60--70- . , -

    . ,

    .

    , , -

    .

    , ,

    . -

    . .

    , ( -, , ). , , ,

    , . ,

    , .

    .

    . , -

    .

    , ,

    .

    , .

    , , . 1.1.

    (1642-1945) , ,

    (1623-1662), . 1642 , 19 , , . :

    .

    .

  • 30 1.

    (1646-1716) , - . ,

    .

    150 (1792-1871), , . , , ,

    ,

    . -

    .

    : -

    , - -

    -.

    ,

    , . ,

    17000 , -, .

    4 : (), -, ( ), (- ). 1000 50 , . -

    , -

    , ,

    . , .

    , -

    . .

    2 , , (, ) . -

    , , -

    .

    , .

    .

    ,

    . -

    , , -

    . -

    . Ada. , . -

    , , -

    XIX . , - .

    ,

    .

  • 31

    1.1.

    1834

    19361943

    19441946

    1949

    19511952

    196019611962

    1963

    196419641965

    1970

    1974

    197419781981

    19851987

    Z1COLOSSUS

    Mark!ENIAC I

    EDSAC

    Whirlwind IIAS

    PDP-114017094

    B5000

    3606600PDP-8

    PDP-11

    8080

    CRAY-1VAXIBM PC

    MIPSSPARC

    /

    DECIBMIBM

    Burroughs

    IBMCDCDEC

    DEC

    Intel

    CrayDECIBM

    MIPSSun

    1990 RS6000 IBM

    ,

    - ( 50 )

    ,

    -

    ( 50 000 ) -

    70- . 8-

    -

    32-

    RISC RISC SPARC

    30- XX .

    ,

    . ,

    1944 , .

    .

  • 32 1.

    .

    .

    , -

    , .

    () . , . - : -

    , .

    , , -

    . -

    1940 . , -

    . .

    , -

    ,

    . -

    . -

    , , -

    .

    Mark I 1944 . 72 23 6 . - -. , Mark II, . .

    (1945-1955) .

    . -

    ,

    . , -

    ENIGMA, - -

    .

    ENIGMA , , , .

    , , -

    , .

    COLOSSUS. . COLOSSUS 1943 , 30 , COLOSSUS .

    , .

  • 33

    .

    , -

    . -

    (, , ). , .

    , , ,

    . -

    .

    1943 , ,. , ,

    ENIAC (Electronic Numerical Integrator and Computer - ). 18 000 - 1500 . ENIAC 30 140 -. 20 , 10- . ( , - -

    , - , -

    .) ENIAC 6000 - .

    1946 , . ,

    , -. -

    .

    . EDS (1949 ). -. JOHNIAC Rand, ILLIAC -, MANIAC - WEIZAC - .

    EDVAC (Electronic DiscreteVariable Computer ). -, , ,

    ( ). Unisys Corporation.

    -

    . ,

    ,

    , .

    EDVAC, - ENIAC, , - , EDVAC, IAS1. , -. ,

    ; , - , .

    . Immediate Address Storadge . . .

  • 34 1.

    ,

    . ,

    .

    ,

    .

    ,

    , . , -

    , ENIAC, 10 - (1 9 ), .

    , , --

    . EDS , , , ,

    . IAS ,

    . . 1.4.

    -

    J--

    . 1.4. -

    : , -- , , --

    . 4096 , 40 , 0 1. 2 20 , 40 . 8 , 12 - 4096 .

    -

    40 , . -

    . ,

    , -

    .

    , IAS, - Whirlwind I. IAS, ENI , Whirlwind I 16 .

  • 35

    (- ), -.

    IBM , - . IBM - , 1953 IBM-701, , UNIVAC .

    IBM-701 2048 36 , . , .

    IBM-704, 4 -, 36 . 1958 IBM , IBM-709, IBM-704.

    (1955-1965) Bell Laboratories , , 1956 - . -

    , 50- - .

    . 16 , Whirlwind I. -0 (Transistorized experimental computer 0 - 0) -2.

    -2 , -, , 1957 DEC (Digital EquipmentCorporation ), - , -0. , PDP-1, , ,

    DEC, . DEC .

    PDP-1 1961 . 4 18 5 . , IBM-7090, - IBM-709. PDP-1 . PDP-1 $120000, a IBM-7090 . DEC - PDP-1, .

    PDP-1 , , .

    PDP-1 512 512 , .

    PDP-1, . DEC PDP-8, 12- .

    PDP-8 , PDP-1 ($16000). (Omnibus) (. 1.5).

  • 36 1.

    . PDP-8 I AS. . - DEC 50 000 PDP-8 -.

    -

    Omnibus

    . 1.5. PDP-8

    , IBM IBM-709 IBM-7090, IBM-7094. 2 , 32 16 .IBM-7090 IBM-7094 ENIAC, - 60- .

    IBM IBM-1401 . -

    , IBM-7094, - . ,

    .

    IBM-1401 . - 4 8 (4 ). 6 , - . MOVE, , . -

    , 1. 1964 CDC (Control Data Corporation) 6600,

    , IBM-7094. , CDC . ,

    ( ) . , -

    , . -

    , , ,

    , 10 . 6600 . ,

    , , (- , ) . 6600 - .

    6600 , . -

    , .

    CDC-6600, CDC-7600 -1.

  • 37

    : , ,

    , , : . -

    ( -) ( -).

    Burroughs B5000. PDP-1, -7094 CDC-6600 -, (DEC) (IBM CDC). . 5000 .

    Algol 60 ( Pascal), - , . , -

    .

    .

    (1965-1980) 1958 ( ) -. ,

    , . -

    .

    1964 IBM , - : IBM-7094 IBM-1401, , .

    ,

    36 , . , ,

    .

    , IBM . ,

    System/360, , . System/360 . (). , .

    IBM-1401 IBM-360 ( 30), a IBM-7094 - IBM-360 ( 75). - 75 , , -, , .

    , ,

    . -

    .

    . -

    ,

    -

  • 38 1.

    11000641

    3,56252562

    105002564

    2125051216

    . . 1.2 - IBM-360.0 .

    1.2. IBM-360 30 40 50 60

    ,

    ,

    ,

    1 3 3 4 6

    IBM-360 . - , -

    , -, .

    IBM-360 , . IBM-1401, IBM-7094, IBM-360. IBM-360 , IBM-1401, , IBM-1401, .

    IBM-360 , .

    : IBM-360, IBM-1401 - IBM-7094. - .

    IMB-360 : 16 32 , , IBM-1401. - ,

    IBM-1401. IBM-360 224 (16 ).

    . IBM-360 IBM-370, IBM-4300, IBM-3080, IBM-3090. . 80- 16 , - IBM , 32 , 2 .

    , 32 , 32 . 16 . IBM -

    , 32 . -, -

    4 , 32 . -

    PDP-11, PDP-8co

  • 39

    16 . PDP-11 IBM-360,a PDP-1 - IBM-7094. IBM-360, PDP-11 -, , ,

    . PDP-1 , -, DEC -.

    (1980-?) () 80- , , , -

    .

    . PDP-1 ,

    ( ). 80- -, -

    , . .

    . -

    ,

    , .

    .

    , , -

    Intel 8080, , 8-. . -

    .

    . -

    /, Intel 8080. - ,

    , -

    .

    , Apple ( Apple II), - .

    , ,

    Apple IBM. , , IBM, -

    , -

    . ,

    , IBM , , , -

    -

    , -, ,

    .

    ( ), Intel 8088 - . (IBM PC) 1981 .

  • 40 1.

    IBM , . ( ), , , - , $49. , IBM PC, .

    IBM, IBM PC , - ,

    PC , IBM. .

    ( Commodore, Apple, Amiga, Atari) - , Intel, IBM PC , . ,

    , , -

    .

    IBM PC MS-DOS, - Microsoft. IBM Microsoft - MS-DOS OS/2, ,

    Apple Macintosh. Microsoft Windows, MS-DOS, , OS/2 . OS/2 ,a Microsoft Windows, IBM Microsoft. , Intel Microsoft, , Intel, IBM, , - , -

    .

    80- CISC1 RISC2. RISC - . 90- -, , ,

    . RISC, CISC - 2 .

    .

    . ,

    , .

    1 Complex instruction set computer .. .

    2 Reduced instruction set computer . . .

  • 41

    .

    -

    . ( ), .

    , ,

    Intel , 1965 . , ,

    .

    4 , , , - , , ,

    . ,

    18 , 60% . , . 1.6, , .

    100000000

    10000000

    1000000

    100000.

    | 100002 (

    3 1011965 1970 1975

    4

    - 1

    I

    64

    16

    I

    256

    1

    16 ^

    4

    I1980

    1985 1990 1995

    . 1.6. , 60% .

    , ,

    , -

    , , .

    , XXI , , 2020 . , , , , -

    1 . ,

    . ( - ) . (- ,

    $10 ). - .

  • 42 1

    , , , -

    . .

    , ,

    Microsoft : - . ,

    80- - troff ( troff ). Troff - .

    . , ,

    . -

    , ,

    , -.

    . , -

    . , IBM PC/XT, 1982 , 10 , , . , -

    , , -

    (, , . ), , , ,

    50% . -

    . , -

    300 /, , 56 /, ISDN, - 2x64 /, - , 1 /. - (,-12/13) $700 , 10 300 000 , 10- - 1 , , 1 / (1012 /) - 100 , .

    , Bell Laboratories, , - .

    , , 1000 / , ,

    100 / 100 - -

    , 10, 1 000 000 .

  • 43

    : - ,

    , . -

    ,

    .

    . 1.3.

    1.3. .

    ($) 1 10 , , 100 1000 10 000 100 000 - 1 000 000 10 000 000

    ,

    Happy Birthday, - . , ,

    , -

    . ,

    , -

    , . ,

    , ( , ?).

    , , -

    , , CD-, , . . - ,

    .

    , 1 -, , .

    . -

    ,

    , .

    -

    , web-. , , - ( , -) . .

    . -

    . : . , -

    , CD-ROM, ,

  • 44 1.

    .

    , ,

    . Intel ,

    , .

    ( ), . ,

    .

    .

    .

    ,

    (NOW Networks of Workstations) (COW Clusters of Workstations). - , ,

    1 /, - ,

    . .

    . -

    , -.

    , -

    60- . - IBM-360. , , -

    1 (1 =1012). - , , -

    . ,

    , , -

    .

    2000 . - - , 60- 70- , COBOL, . , -

    .

    , .

    , 31 9999 , COBOL-, 8000 1.

    . -

    , -

    , .

    , -

    , .

    , 31 1999 . . .

  • 45

    , , , -

    , ,

    .

    , -

    : Pentium II, UltraSPARC II picojava II.

    Pentium II 1968 , , , , , -,

    Intel . - $3000, .

    60- - 20 . 1969 Busicom Intel 12 - . Intel , , , 4- ,

    . 1970 -, 4004 2300 .

    , Intel, Busicom , - . Intel , - 4004 , Busicom $60000, , Busicom Intel . Busicom Intel, Intel 8- - 8008, 1972 .

    Intel 8008, . , -

    , Intel , 16 ( 8008), , .

    8080, 1974 . PDP-8, - : DEC PDP-8, a Intel - 8080.

    1978 8086 16- -. 8086 8080, - . 8088 , 8086.

  • 46 1.

    , 8086, 16- 8-, - , , 80861. IBM 8088 IBM PC, .

    8088, 8086 1 . 80- - , Intel 80286, 8086. , 8086 8088, -, - -

    . 80286 IBM PC/AT PS/2., 8088, ( , - 8088).

    32- 80386, 1985 . 80286, . - , -

    , , -

    , .

    80486. , 80386, 8 -. - ,

    (-) . - -, . 80486 - ,

    .

    Intel, , , (, 80486) ,

    Pentium ( ). 80486, , Pentium , ( 2).

    , ,

    Sexium (sex - ), . Pentium , ,

    Pentium Pro. , - . -

    , .

    Pentium Pro -. - 8 8 . Pentium Pro ( ) - 256 .

    . ,

    8088, , - 8086. 8- , - 8088 . . . .

  • 4 7

    Pentium Pro Pentium II, , , -

    ( multimedia extensions). - , .

    . -

    Pentium, Pentium Pro. , Pentium II Pentium Pro --.

    1998 Intel Celeron. Celeron , Pentium II, . Celeron , Pentium II, . 1998 Intel - Pentium II . - -, ,

    , -

    Pentium II, . Intel . 1.4.

    1.4. Intel. (1 = 1 /)

    4004

    8008

    8080

    8086

    8088

    80286

    80386

    80486Pentium

    Pentium Pro

    Pentium II

    4/1971

    4/1972

    4/1974

    6/1978

    6/1979

    2/1982

    10/1985

    4/19893/1993

    3/1995

    5/1997

    ,

    0,108

    0,08

    2

    5-10

    5-8

    8-12

    16-33

    25-10060-223

    150-200

    233-400

    2 300

    3 500

    6 000

    29 000

    29 000

    134 000

    275 000

    1 200 0003 100 000

    5 500 000

    7 500 000

    640

    16

    64

    1

    1

    1

    4

    4 4

    1

    64

    8-

    -

    16-

    IBM PC

    32-

    8 - ,

    -

    Pentium Pro +

    1 Pentium Pro Pentium II 36 , 64 . . , .

  • 4 8 1.

    Intel 8086. , Pentium II , - 80861. , -

    -

    . , Pentium II , 8086, - , 8086. ,

    Pentium II , , Pentium II 7,5 , .

    , -

    , .

    ( . 1.4), , . . 1.7.

    Pentium

    1

    100

    1 -

    100 -

    10 h

    1

    8080.

    - 8008

    i I i I i I

    8086

    1 ! 1

    80286

    8088

    1 t I i

    ^ ^

    80386

    i 1 ,

    Pentium

    80486

    1 > I i I i

    ^ '

    PentiumPro

    i i i . i

    1970 1972 1974 1976 1978 1980 1982 1984 1986 1988 1990 1992 1994 1996 1998

    . 1.7.

    UltraSPARC II 70- - UNIX, , UNIX - , PDP-11 VAX. , , ,

    , -

    , 8086, (-) . . . .

  • 49

    , UNIX. 1981 -, UNIX - , , SUN-1 (Stanford UniversityNetwork ).

    , 27- , .

    -

    Sun. -, -, . -

    , UNIX. 1982 Sun Microsystems. ,Sun-1, Motorola 68020 , - Sun-2 Sun-, - Motorola. , ( -), . - Sun Ethernet - TCP/IP ARPANET, .

    1987 Sun, , -

    , -

    (RISC II). SPARC (Scalable ProcessorARCitecture ). Sun-4. Sun .

    , Sun - SPARC. , ,

    . -

    , ,

    .

    MicroSPARC, HyperSPARK, SuperSPARK TurboSPARK. ,

    , .

    Sun , SPARK . ,

    Intel, - . , -

    SPARC, , Intel, Sun - SPARC International SPARC. SPARC, , .

    SPARC, , SPARC ( ).

  • 50 1.

    SPARC 32- 36 . (Integer Unit ) .

    55 . - 14 . , Intel 8- 16-- ( 8088, 8086, 80286), 32-( 80386), a Sun, Intel, 32-.

    SPARC 1995 , 64- ( 9) 64 . - UltraSPARC I, 1995 . 32- SPARC, 64-.

    , UltraSPARC -, , . , 64-, 23 , 64- , -, , -

    . VIS (Visual Instruction Set) - . .

    UltraSPARC web- - 2 (, 1 = 1012 ). - UltraSPARC .

    UltraSPARC I UltraSPARC II UltraSPARC III. , - -

    . SPARC, 64- UltraSPARC II ( 9).

    PicoJava II Bell Laboratories .

    UNIX. - UNIX . ,

    Bell Laboratories, -- , C++, .

    90- Sun Microsystems , ,

    web-. C++, , , , -

    . C++ Java, . Java - ,

    . , -

    .

  • 51

    Java , -, Pentium, SPARC . . -

    , -

    .

    Java SPARC, Pentium, .

    , Sun JVM ( J a v a Virtual Machine Java). 32- , 226 . - ,

    .

    Sun , - Java JVM, JVM . , , -

    . ,

    Java, - JVM (, Pentium II Windows 98 SPARC UNIX) - . , -

    JVM, (- Java, World Wide Web). .

    JVM ( ) -.

    JVM , - . JVM - , .

    JIT- (Just In Time -), . JVM- , JVM- .

    JVM (JVM- JIT--) Sun JVM , JVM - - . Picojava I picojava II - . ( $50), , , , , -

    . , Sun, picojava, , , -

    - . .

    Java , . , ,

    Java. -

  • 52 1.

    , -

    . -

    , . -

    JIT-, - JVM.

    Picojava II ( - ), , , Sun Microjava 701 . , - Sun. picojava II , Pentium II UltraSPARC II . Picojava II - , 4 , JVM . JVM JVM.

    Picojava II : - , -

    . picojava II -, , .

    Sun Microjava 701, picojava II. -, , picojava II , , .

    Pentium II, UltraSPARC II picojava II , .

    CISC , RISC -. .

    ,

    .

    , (-, ). - ,

    , .

    , ,

    ( ), - , , , -

    .

    .

    . ,

    , .

  • 53

    , , , -

    ,

    Pentium II, UltraSPARC II picojava II. -. -, ,

    . -, -

    ,

    . ,

    , , -

    ,

    , . -

    -

    , -

    , .

    , ,

    Pentium II, UltraSPARC II picojava II. , .

    , ,

    .

    2 : -, , -. -

    .

    3, 4, 5 6 , . 1.2. , -

    .

    -1, , , , -

    .

    , .

    3 , -. , -

    . , -

    . , ,

    , PCI. - , -

    , .

    4 - .

    , -

    . -

    .

    5 , . 3 , .

    6 , , .

    Windows NT, - Pentium , UNIX, UltraSPARC .

  • 54 1.

    7 . , - . .

    8 , - . ,

    , . -

    , -

    9 , - , .

    1. :1. .2 .3. .

    2. ?3. -

    , ? .

    4. ,

    ? , .5. , -

    . ,

    , . -

    . -

    , ?6. ,

    . m -

    , ,

    m -1. - , -

    , -

    , , +1 ?

    7. . -

    , . -

    , , .

    8. ? ?

  • 55

    9. - . ,

    (: - ).

    10. 75- IBM-360 50 , 30, 5 . , .

    11. 1.4 1.5 . , - .

    ?12.

    .

    ?

  • 2

    ,

    -.

    , . -

    . ,

    - ,

    ,

    .

    . 2.1 . . , -

    . , , -

    . ,

    , ,

    . ( -) .

    .

    . -

    (, ) - (, ).

    -

    . -

    , .

    . ,

    .

    , .

    , ,

    . -

    , , 1.

    , ,

    . . , .

  • 57

    . , -

    , - .

    -

    -

    . 2 . 1 . -

    -

    . 2.2. ( 1 32), (- ) . - , . 2.2 - . ,

    . , -

    .

    , -

    .

    . -

    , . . 2.2 . , .

    : -

    -. ,

    , .

    ( ,

    1.) .

    . .

    . , ,

    16- 8086 8088 16-, 32- 32 . . ,

  • 58 2.

    +

    ^~*

    + -

    . 2.2. -

    ,

    , -

    .

    . - ,

    . ,

    .

    :

    1) ;2) ,

    1;3) ;4) , , ;5) , , 2;

    1 , . .

    , .

    2 , ,

    - . . . .

  • 59

    6) ;7) 1, . () -

    .

    -

    . 2.1 -- Java. : , , ,

    . ,

    , (instr), (instr_type), (datajloc) (data). - . ,

    , .

    2 . 1 . ( Java)public class Interp{

    s t a t i c i n t PC. //PC stat ic i n t AC; // , s t a t i c i n t i n s t r . // s t a t i c i n t instr_type. // ( )stat ic mt data_loc. // - 1 , s t a t i c i n t data. // s t a t i c boolean run_bit = t rue; //. ,

    public stat ic void interpretCint memory[], i n t starting_address{// .

    () , ADD . .

    HALT, run_bit fa lse. , , run b i t

    PC=starting_address.while (run_bit) {

    instr-memory[PC], // i n s t r-+1. // mstr_type=get jnst retype ( i n s t r ) . // data_loc=find_data(instr, m s t r j t y p e ) . // ( - 1 , )1f(datajoc>=0) // data_lock=-l. //,

    data=memory[data_loc]. // execute(mstr_type.data), //

    }

    private s t a t i c i n t get_ instr_type(mt addr) {.}private s t a t i c i n t find_dataCint i n s t r . i n t type) {.}private s t a t i c void executednt type, i n t data) {..}

    } ,

    , , -

    , . ,

  • 60 2.

    , -

    . .

    1. -, -

    , .

    () , , , ,

    .

    , -

    . -

    , , -

    .

    . , -

    , -

    , .

    , -

    . ,

    (). ,

    . , -

    , . ,

    , -

    .

    ,

    . . ,

    ,

    , .

    , -

    . - , -

    . -

    . ,

    , . -

    ,

    ,

    , .

    50- IBM, - , , , -

    , -

    , . ,

    IBM . , -

    , . -

    , , -

    ?

  • 61

    . ,

    1951 , , , , -

    . IBM System/360, , .

    .

    . :

    1) ;

    2) , ;

    3) , , - .

    70- , - . -

    .

    -

    . -

    , -

    ,

    . -

    , 70- , - .

    70- , -

    (, -1 Control Data Cyber). , -

    ,

    .

    VAX( Digital Equipment Corporation), 200 . -, VAX -, . -

    ,

    .

    VAX, ( DEC). Compaq DEC 1998 .

    8- - , 70- - .

    . -

    ,

    , . ,

  • 62 2.

    .

    Motorola 68000 - Zilog Z8000, , ,

    . Motorola 68000 - , , Z80 ( Zilog Z8000) , Motorola 6800 ( Motorola 68000)., , , Motorola , a Exxon ( Zilog) .

    -

    ( ) . ,

    Motorola 68000 10 , , 100 , 2 - 500 . ,, 2000 , , .

    , 6000 . , .

    RISC CISC 70- -, . -

    , ,

    , . - -

    ,

    , , . . ( ). IBM -

    ; , - - 801. IBM - ,

    , ,

    .

    1980 VLSI - .

    RISC RISC I, RISC II. , 1981 , - , MIPS. - SPARC MIPS .

    . , -

  • 63

    I , . -

    [ , , - , -

    , .

    , , ,

    .

    ,

    ( 50). : DEC VAX IBM 200 300. RISC Reduced Instruction Set Computer . RISC CISC(Complex Instruction Set Computer ). CISC VAX, . ,

    RISC CISC , - .

    -

    RISC VAX, Intel IBM. , - -

    ,

    (. . 2.2), , - - (, ) . ,

    RISC 4 5 , - CISC, RISC 10 ( ), . , -

    ,

    , -

    i RISC. RISC, -

    , , Alpha DEC, CISC (Pentium . .) . -. : ?

    -, RISC , - -

    Intel. -, , Intel CISC. Intel, 486-, RISC, ( ) - , CISC - . -

    , .

    , RISC, -,

    .

  • 2.

    , -

    RISC, , - . -

    (, 10 , -), . , -

    .

    , RISC, -

    . - , -

    , ,

    , .

    .

    .

    .

    . -

    .

    CISC , .

    ,

    .

    . -

    ,

    . 500-MIPS - 500 , , - (MIPS Millions of Instructions Per Second .) - , -

    , -

    ,

    .

    -

    , ( ) , , - , .

    , 1 , 2 -, , 2 , . -

    , -

    , -

    .

  • 65

    . -

    . -

    , , -

    . ,

    . ,

    . -

    , .

    .

    -

    , -

    .

    .

    , ,

    , , -

    . , -

    (LOAD STORE). . -

    , ( - 32). , - , .

    . -

    .

    ,

    , . -

    ,

    , .

    -

    ( ).

    :

    . -

    -

    . -

    . .

    , -

    .

    , -

    . -

    ,

  • 66 2.

    , . -

    , .

    , ,

    , , . -

    IBM Stretch, 1959 . -

    : . .

    , , -

    , .

    . 2.3, 5 , . 1 , , . 2 , , .

    ,

    . 4 , (. . 2.2). , 5 - .

    1

    2

    4

    5

    1

    2

    4

    5

    3 4

    . 2.3. 5 (); (). 9

    . 2.3, , . 1 1 1, . 2 - 2 1, 1 - 2. 3 1, 2 2, 1 . 4 4 1, 2, 2 - 3, 1 4. , 5 1 , .

  • 67

    ,

    .

    . , -

    , 5 ( ). 10 ( ) . -

    , . -

    , . -

    , . ,

    . : ( ) - .

    , . 2.3. , 2 . , , 10 . , 100 , - . (2 ) - , 100 , 500 .

    (- ) ( ). , ,

    , 1000/ .

    , . -

    . 2.4. -, . 2.3. .

    . -

    , (-, ), - . , ,

    (, - , ), - -

    .

    ( , ) RISC. 386- . - Intel 486- 1.486- -

    , -

    386- . 5- 486. . .

  • 68 2.

    , a Pentium . . 2.4, - ( 1 2) -. (u-) . - (v-) , (FXCH).

    1

    2

    4

    5

    . 2.4.

    , -

    , . , ,

    , ( --). -. . , Pentium ,

    , , . -

    , , ,

    Pentium , 486-, . ,

    .

    , -

    (, , , ). - . -

    , . 2.5. Pentium II, , ( 4). 1987 .

    30 CDC 6600. CDC 6600 100 10 - . , -

    .

    , 3 , 4 . 3 10 , 10 , ,

    .

  • 69

    , ( ). . 2 5, .

    1

    2 /

    /

    4

    W 5

    1

    . 2.5.

    , , -

    . ,

    , -

    , 3D . ,

    ,

    - - 20 /, , Intel. , -,

    1, .

    - ,

    5-10 . 50, 100 , .

    .

    , -

    , , ,

    , , .

  • 70 2.

    , -

    . -

    .

    . , -

    . -

    , , -

    .

    - (array processor) ,

    . -

    ILLIAC IV ( ). . 2.6. - , -

    , 8x8 /. . , -

    , -

    ( ). - , 50 .

    1 , .

    1

    CZJ CD I I

    8x8 /

    . 2.6. - ILLIAC IV

    (vector processor) - (array processor). -- , -

    . , (array processor), ,

  • 71

    . Cray Research, - , , Cray-1 (1974) . Cray Research SGI.

    .

    , , , -

    . - (array processor) , ,

    (vector processor) , - .

    .

    , -

    . -

    , , -

    .

    - (array processor) , , -

    , -

    . --

    (array processor) , (vector computer), , -

    . (vector processor), , . , -

    , ,

    .

    - ,

    . -

    , , .

    , -

    , - .

    .

    , .

    . 2.7, . - .

    , -

    ,

    , .

    , .

    . 2.7, . , .

    ,

    .

    , , , . -

    (, -).

  • 72 2.

    . 2.7. {); , ()

    , .

    , ,

    .

    , - -

    . ,

    , -

    , .

    (< 64) - , -

    . , -

    . ,

    ,

    , -

    , . .

    ( , ). - ,

  • 73

    2D, 3D, . , .

    .

    , 10 000 . ,

    , ,

    . -

    ,

    . -

    8.

    , . -

    . , -

    ,

    .

    , .

    0 1. . (, , . -

    .) , , -

    . ( ),

    - ,

    . , ,

    .

    , , -

    . -

    , .

    , , IBM, , . -

    - . -

    4 . 4 16 10 ( 0 9). 6 . 1944 - ; 16 :

    : 0001 10010100 0100 : 000001111001100016 - 0 9999,

    10000 , 16 65536 -. , .

  • 74 2.

    , , - -

    , -

    0 9, 0 10 10 . 0 9999, 10 000 . , 16 . -, .

    ,

    . , , -

    .

    , 0 -1. - . ,

    2 . . 2.8 3 96-. ,

    .

    1

    0

    1

    2

    3

    4

    5

    6

    7

    8

    9

    10

    11

    0

    1

    2

    3

    4

    5

    6

    7

    I I

    0 L |1 I

    2 | I | |4 | |5 | _

    -16 -

    12

    -8

    . 2.6. 96-

    , ( - ), . m , -

    2|. , - , . 2.8, ,

  • 75

    4 , 0 11. , . 2.8, 6 2.8, , 3- . -

    . 12- 212 8 , 212 64 .

    . 2.1 -.

    2.1.

    Burroughs B1700IBM PCDECPDP-8IBM 1130DECPDP-15XDS 940Electrologica X8XDS Sigma 9Honeywell 6180CDC 3600CDC Syber

    18121618242732364860

    , ,

    8- -, , .

    32- 4 , 64- 8 . , , , - (-, ). , 32- 32- 32- , 64- 64- -, , 64- .

    .

    , ,

    , . . 2.9, 32- , - ( SPARC IBM). 2.9,6 32- ( Intel).

    , 32- (, 6) 110 , 29

  • 76 2.

    . , 110 - 3 ( 7, 11 . .). , 110 0 ( 4, 8 . .). , , 0.

    4

    12

    04

    8

    12

    1

    5

    9

    13

    2

    6

    10

    14

    3

    7

    11

    15

    3

    7

    11

    15

    2

    6

    10

    14

    1

    5

    9

    13

    0

    4

    8

    12

    0

    4

    8

    12

    32-

    32-

    6

    . 2.9. (), ()

    , -

    .

    , . , , -

    , ( ) ( ). 0, . . 2.10, , . 2.10, Jim Smith, 21 , 260 (1x256+4=260).

    0

    4

    12

    16

    J

    S

    0

    0

    I

    0

    0

    0

    I

    0

    0

    1

    0

    21

    4

    0

    0

    0

    I

    0

    0

    0

    I

    0

    0

    1

    J

    S

    21

    4

    0

    4

    8

    12

    16

    0

    21

    4

    I

    0

    0

    1

    I

    0

    0

    0

    J

    S

    0

    0

    J

    S

    0

    0

    I

    0

    0

    0

    I

    0

    0

    1

    0

    21

    4

    48

    1216

    . 2.10. (); {),

    (); ()

    . -

    , -

    . , -

  • 77

    -

    , 0 19. -, . , 0 0 . ., . 2.10, .

    , , , -

    21224, . , , , -

    , .

    , -

    , .

    . 2.10, . , -, MIJTIMS, - . , -

    0 (), 1 () . . . , . (-

    , ,

    , . . -

    - .) ,

    .

    - -

    . -

    , .

    .

    , .

    , , ,

    . , m

    , ( ). ( =+). n- , m , .

    , 10001001 10110001, , .

    . , -

    1 . , , -

    . d, , d , . , 11110001 00110000 3, - 3 .

    m- , , 2 . , - -

  • 78 2.

    2 2" . , ,

    .

    -

    , . -

    .

    . d , - d+1, d - ,

    d , 2d+l, ,

    d , , ,

    .

    ,

    .

    , 1 (). 2, - . , -

    . -

    . , -

    , . ,

    .

    :

    0000000000,0000011111, 1111111111 5 ,

    . 0000000111, , 0000011111 ( -). , , , 0000000000 0000000111, .

    , m

    , . 2 - ,

    .

    n- . , 2 +1 , ( - ). - 2, (+1)2

  • 79

    2.2. ,

    8163264128256512

    45678910

    12213871136265522

    50311911642

    , -

    . ,

    , -

    4- . . 2.11 3 ,, , .

    4 1100 , ABC, AC , - ( ). . 2.11, .

    . 2 . 1 1 . 1100 (); (); ()

    , -

    , . 2.11, . , , , , .

    4 : 0, 0, 1 1, 2. 1, 1, 0 0, 2. . ,

    , 0 4. , 4 3 .

    , 0 1, . 2.11, . , . , , -

    0. - .

    , -

    .

  • 80 2.

    , m , ,

    + . ( ), . , ,

    ; . , 16- 5 . 1, 2, 4, 8 16 , . 21 (16 5 ). ( ).

    .

    1 . - :

    1 1, 3, 5,7, 9,11, 13,15,17,19, 21. 2 2, 3, 6, 7,10,11,14,15,18,19. 4 4, 5,6, 7,12,13,14,15, 20, 21. 8 8,9,10, , 12,13,14, 15. 16 16,17,18,19, 20, 21. b

    2,..., bJt bi+b2+... +b,=b., 5 1 4, 1+4=5. 6 2 4, 2+4=6 . .

    . 2.12 16- 1111000010101110 21- - 001011100000101101110. , ,, , 5 - .

    001011100000101101110 001001100000101101 . 5 . :

    1 ( 1, 3, 5, 7,9, 11, 13, 15, 17, 19, 21 ).

    2 ( 2, 3, 6,7,10,11,14,15,18,19 ).

    4 ( 4,5,6,7,12,13,14,15,20,21 ).

    8 ( 8,9,10,11,12,13,14,15 ). 16 ( 16,17,18,19,20,21 ). 1, 3, 5, 7, 9, 11, 13, 15, 17, 19 21

    , . -

    , 1 ( 1,3,5,7,9,11,13,15,17,19 21). 4 . , : 4,5,6,7,12,13,14,15,20,21. , .

    5,7,13,15 21. 2 , 7 15. 8 13., 21 , 16 . 5, . - 1, 0. .

  • 81

    1111000010101110

    1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21

    . 2.12. 1111000010101110 5 ,16

    , .

    , ( , ). , . ,

    , . , -

    1 4 , 2,8 16 , 5 (1+4).

    -

    , . -

    , .

    ,

    -

    , .

    -

    , , . -

    : -

    , , ,

    . , -

    , .

    , . -

    , ,

    , - -

    , ,

    . , -

    . , -

    10 , , 10 , .

    , -

    , , -

    , .

    .

    , , -

    , . -

    , -

    , .

  • 82 2.

    , .

    , , , -

    , (- ). , , ,

    ,

    , . ,

    -

    .

    .

    , -

    ,

    , . -

    - ( cacher 1; ). , - . . 4.

    - : ,

    . - , -

    -. , , -

    . -,

    .

    , ,

    -. , .

    , -

    , . -

    ( ) . ,

    , -

    . -

    , -

    - .

    , -

    , -

    . -.

    : , -

    -,

    . ,

    - . 2.13. , 1 -1 -. , .

    cash* () , , , .

    , .

  • 83

    -

    '

    . 2.13. - . -

    . -

    , m h , -

    . h=(k~l)/k. , - :

    =+( 1 -h)m. h -,

    . , h0 - , +: - ( ), m - .

    -, -

    . -

    -,

    .

    - -

    . - -

    - (cache lines). - , - ,

    . , 64 , 260 - - , 256- 319- ., .

    , -

    , 1 , 1 . - , ,

    , , -

    .

    - -

    . -. ,

    , . -

    . - 16 1 16 ,2 8 . . -, , . -

    - 4.

  • 84 2.

    -. -, -

    , . -

    .

    -, -,

    . (Harvard Architecture),

    III, - . ,

    ,

    ,

    (). - - , . ,

    , -

    .

    , -.

    - -

    , - , -

    , - .

    90- - , -

    . 1 1 - .

    , -

    .

    . (8 16) . SIMM (Single Inline Memory Module , ) DIMM (Dual Inline Memory Module , ). ( ), . SIMM . 2.14.

    ***

    -

    . 2.14. SIMM 32 .

  • 85

    SIMM 8 32 (4 ) . , 32 . - 4 , , SIMM 32 128 . - SIMM (64 ).

    SIMM 30 , 8 .

    . 72 32 . Pentium, - 64 , , . -

    DIMM. 84 - , 168. DIMM 64 . DIMM 64 . - DIMM , SO-DIMM (Small Outline DIMM). SIMM DIMM - , ,

    1 10 , - .

    , : .

    , -

    , -

    . , -

    ,

    ( - $49). 1 1 . , 50 - 10'4 100 . - (50 000) . - ,

    ( , - ).

    . 2.15. . -

    . -, 32 . ,

  • 86 2.

    16 . , , ,

    .

    -

    . 2.15.

    . -

    , .

    , - ,

    . : 10 , - ( - ).

    -, .

    128 , - , ,

    .

    , -

    .

    -, , 1 .

    1,

    ,

    .

    , - .

    , -

    . ,

    ; .

    1 , , . -

    . 1 10 . ., .

  • 87

    1 -

    . 50 , - 3 12 , 3 , . ,

    , , .

    , .

    , -

    . -

    . ,

    ( ) , - . -

    , , .

    . 2.16.

    / 1 / 0 1 0 2

    /

    5-10

    . 2.16. ( )

    ,

    . -

    . 512 . - (preamble), - . ( , -

    , ). - .

    ( ), , -

    1 IBM . , .

  • 88 2.

    , . -

    15% . ,

    , .

    . ,

    , -

    . -

    . 800 2000 1, 5 10 (1 =1/1000 ). - , ,

    , -

    .

    , -

    .

    .

    50 000 100 000 /. , ,

    . . -

    IBM. 30 - 30 . , 30-30 30-302 - , , . 2.17. . ,

    .

    , , .

    .

    , .

    . ,

    , 5 15 , 1 . , ( ), . 3600, 5400 7200 . , ( ) 4 8 . - 10800 (180 - ). - . 5 10 3 (512 ) 25 100 . , . ,

    .

    1 ,

    1 10000 . , .2 30- . .

    3 40 .

  • 89

    7

    6 5

    4 3

    2 1

    /

    . 2.17.

    , - , -

    , , - ,

    , -

    , -

    , ,

    . -

    , -

    .

    . , , -

    -

    .

    60 120 , , . -

    -

    , . , -

    , .

    , , -

    ( ). -,

    .

    ,

    =2 , - , .

    , , -

    . -

    ,

    . -

    , , 18 , 20, , .

  • 90 2.

    .

    ( 10 30). - .

    , ,

    . . , -

    .

    , -

    . . -

    , READ, WRITE FORMAT ( ), , - , 8- , , . -

    -

    , .

    ,

    , . -

    , , -

    .

    -

    . -

    (floppy disk ; , - ) . IBM. - ( ). -

    .

    , ,

    , ,

    ,

    . , -

    . , -

    , .

    . ,

    , ( ) , .

    : 5,25 3,5 1. (Low-Density, LD), - (High-Density, HD). 3,5 ,

    1 5,25 2001 -

    , 3,5 - , -

    . . , .

  • 91

    . 3- - , , , 5-. 4 . 2.3.

    2.3.

    ,

    .

    , /

    LD5.255,25360 4092300250

    HD5.255,251,2 60152360500

    LD3,53,5720 8092300250i

    HD3.53,51,44 80182300500

    IDE

    IBM PC XT. Seagate 10 , Xebec . 4 , 306 17 - . . -

    . -

    BIOS (Basic Input OutputSystem -), . BIOS -, .

    , , IDE- (Integrated Drive Electronics ), 80- , -

    2. BIOS , -

    . -

    , ,

    0, 1. , - BIOS, 8088. 4 , 6 10 , 16 , 63 1024 , 1 032 192 . 528 , ( ,

    1 ?).1 , , . -

    . , .

    2 , , .

    . . ..

  • 92 2.

    528 , - (4 , 32 , 2000 ). - , BIOS - ( ). , ,

    BIOS. -. , , -

    , .

    IDE EIDE- (Extended IDE IDE), LBA (Logical Block Adressing), 0 224-1. LBA , -, 528 . EIDE - . ,

    4 , , CD-ROM.

    IDE- EIDE- Intel, - IBM PC.

    - .

    SCSI-SCSI- IDE- -, , -

    , SCSI- (Hovard Shugart). 19791 SASI (ShugartAssociates System Interface). 1986 -

    SCSI (Small Computer System Interface ). SCSI . , , FastSCSI (10 ), Ultra SCSI (20 ) Ultra2 SCSI (40 ). - 16- . - . 2.4.

    2.4. SCSI

    SCSI-1Fast SCSIWide Fast SCSIUltra SCSIWide Ultra SCSIUltra2 SCSIWide Ultra2 SCSI

    88

    168

    168

    16

    ()

    5101020204040

    , /5

    102020404080

  • 93

    SCSI , - UNIX, Sun, HP, SGI . Macintosh Intel.

    SCSI . , SCSI . - SCSI, -, -, , -

    .

    7 ( 15 16- ). : , . -

    . .

    . ,

    .

    ,

    .

    8- SCSI 50 , 25 () 25 , , . 25 8 - , 1 , 9 , - . 16- 32- - 1 . , ( . .).

    SCSI , . , ,

    , , ,

    . 16 , - , . -

    , -

    , ,

    . -

    , SCSI , ,

    ( UNIX Windows NT). - IDE EIDE , .

    RAID- , -

    1,5 . . 70- - - 50 100 . 10 -. (, - ) 5 10 ,

  • 94 2

    , -

    , ,

    , ,

    - 1988 -, 6 , ,

    -

    , - -

    RAID , RAID Redundunt Arrayof Inexpensive Disks , I Independent () - Inexpensive () , ? RAID- - SLED (Single Large Expensive Disk - )

    RAID ( - ) , RAID-, RAID-, , RAID SLED, RAID- SCSI- ,

    ( 7 8- SCSI 15 16-), , RAID RAID SCSI- SCSI-, , RAID-, - , -

    RAID -, -, RAID --, RAID , -

    ,

    RAID- , RAID- RAID- , -, ,

    -

    RAID- 2 18, , , (strips) no k , 0 -1 0, 2-1 1 =1 , =2 RAID- , 2 18, RAID- 4 (striping)

  • 95

    ,

    ,

    , RAID- 4 - , , -

    . , -

    .

    RAID- , , . , RAID-, ,

    , . -

    , ,

    ,

    .

    , .

    RAID- -, .

    , , , -

    , , .

    ,

    , SLED. RAID-, , 20 000 . RAID- 5000 , . SLED 20 000 , , 4 . ,

    1

    RAID-. RAID- .

    . 2.18, , RAID- , RAID-2. , 4 - 4 . - . ,

    -

    , RAID- . , - , ,

    ( ). : , . -

    .

    , -

    , RAID- , .,

    4 , , - 7 , 1,2 4 . , 7 , . 2.18, , -

    1 , . . , .

    2 . 2.18, RAID 0+1, 1- . . ..

  • 96 2

    . 7 - 7 , 1

    -2. 32- 6 ( ). - 38- , - , 39 . - , 32 , -

    39- , .

    , ,

    , , -

    ( 32 6 19 ). - ,

    .

    RAID- RAID- . . 2.18, . - 1 . RAID- , ,

    .

    , -

    , . -

    , ,

    1- , . , ,

    0 , , , 1, , . RAID- -

    , - ,

    .

    RAID- , , RAID- (. . 2.18, ) , RAID- -, , RAID- - , ,

    -

    ,

    ,

    ,

    . 1 -, , -

    , -

    . -

    .

  • 9 7

    RAID- 5

    . 2.18. RAID-

  • 98 2.

    -

    . RAID- -, -

    , . 2.18, . , .

    -

    .

    , 1.

    -

    ,

    . -

    ,

    .

    Philips . 30 , - LaserVision, , , .

    1980 Philips Sony CD (Compact Disc -), , .

    - -

    (IS 10149), ( ). - , -

    , ANSI, DIN . . IS- (International Standard ). - ,

    - -

    . - 120 1,2 , 15 . -- -, . ,

    . , 2080 -.

    - -

    , 0,8 .

    , .

    (), - - , .

    , .

    . -

    (pit), - (land).

    . . , .

  • 99

    -

    0,78 - , ,

    , ,

    , ,

    , , -

    ,

    , -

    , 0, 1, / / 1 0

    -

    , 32 22 188 ( 600 1 ) , 5,6 2 19

    2

    . 2.19. -

    ,

    , -

    -

    , 530 , 120 / , 200 , -

    , , ,

    , -

    - (530 ) - , 3600 7200

  • 100 2,

    1984 Philips Sony - . ,

    , CD-ROM (Compact Disc - Read OnlyMemory - ). - --, -

    - , -,

    -

    . ,

    . -

    1 . .

    ,

    , , ,

    . - -

    14- . , 14 - , 8- , . -

    . 16- 8- - .

    42 588 . 192 (24 ). 396 . - - - .

    - 98 , . 2.20. 16 , 12 - O0FFFFFFFFFFFFFFFFFFFFO0 ( ), . - 3 , , -, , ,

    , .

    , , -

    ; , - , , . -

    .

    14

    42 588 ,

    98 1

    1- (2352 )

    16 2048 288

    . 2.20. -

  • 1 0 1

    2 . 1 -, 2 20, 16 , 2048, 228 ( ) 2 2336 . ,

    (, , ), ,

    , -

    ,

    , -

    98 588 (7203 ), 2048 , 28%

    - 75 -/, 153 600 / - 175 200 / ,

    -- 74 , - 681 984 000 650 , 1 =220 (1 048 576 ), 1 000 000

    , - , -

    32 (4 915 200 /), SCSI-2 (10 /), , - SCSI ( , EIDE). , ,

    , - -

    , -

    1.

    1986 Philips , - -, - ,

    -

    , -

    ,

    - ,

    - ,

    -

    (the High Sierras) - , High Sierra - (IS 9660) 8 , - ( - MS-DOS) , ,

    1 -

  • 1 0 2 2.

    8 . - . , -

    , ,

    . -, -

    IS 9660 , MS-DOS, Apple, Unix - . -

    .

    IS 9660 32 , -. Rock Ridge ( ) (Unix), UID, GID , -, ,

    -

    , , , -

    . - -

    .

    - -

    .

    CD-R , --

    ( -, ), , ,

    . 90- - - ,

    .

    , , -

    -, .

    , -

    . ,

    - -

    -

    , . -

    CD-R (CD-Recordable).CD-R . -

    - CD-R - - , CD-R 0,6 , 0,3 22,05 , -

    . CD-R , , - ,

  • 103

    . - -

    , CD-R - -

    , 2.21. : -- . ,

    . , -

    , Kodak Fuji CD-R.

    ,

    . -

    8-16 . , , . -

    . ( - 0,5 ) - , , ,

    . -

    -

    -.

    -

    . CD-R , - 1989 . CD-R, ,CD-ROM XA, CD-R : , ,

    . , 1 , - -.

    1.2

    ,

    .

    ,

    L [j

    . 2 . 2 1 . CD-R ( ). - ,

  • 1 0 4 2.

    CD-R -- Kodak. --

    - -,

    . , -

    , - -

    . , CD-R ,

    .

    . -

    - VTOC (Volume Table ofContents ). -.

    - VTOC. VTOC . CD-R , -

    VTOC, . VTOC , - , , .

    . -

    -. - -

    -, VTOC . .

    , , -

    , . , , -

    , -

    CD-R , , .

    (, ) -. CD-R .

    CD-R - 650 . - , 650 - , -

    .

    CD-R -, -

    . , -

    -

    ,

    . -

    , . -

    . -

    - - -

    ( ). . -

  • 105

    , -, -

    , -

    , . , -

    .

    CD-RW , -

    ( , , ), -.

    CD-RW (CD-Rewritable -). , CD-R. ( ) CD-RW - , , .

    : , - .

    -

    . ,

    -

    , .

    , .

    ( ), .

    CD-RW CD-R, CD-RW - CD-R. , , , , CD-R .

    DVD - 1980 . - ,

    .

    ,

    , , ,

    . ,

    , , -

    .

    DVD ( Digital Video Disk , Digital VersatileDisk ). DVD --. -, 120 , - , -

  • 106 2.

    . -

    :

    1. (0,4 0,8 , - -).

    2. (0,74 1,6 ).3. ( 0,65 0,78 ).

    -

    ( 4,7 ). DVD 1 - 1,4 / ( -- 150 /). , - DVD- - , -

    -. , DVD-- -. ,

    CD-R CD-RW. 4,7 ? . MPEG-2

    ( IS 13346), DVD- 4,7 - 133 (720x480) 8 32 . 92% , , 133 . (, ) , - . 4 :

    1. (4,7 ).2. (8,5 ).3. (9,4 ).4. (17 ). ? , -

    . Philips Sony , - , a Toshiba Time Warner . Philips Sony , - , Time Warner , , .

    , , .

    -

    . , ,

    , . -

    ,

    , , .

    0,6 . , - 0,6 (, -

  • 1 0 7

    133 , , -, ). - . 2.22.

    0,6

    0,6

    1

    2

    > <

    """^

    "*-*.

    . 2.22. DVD

    DVD , 10 - , ,

    ( - ). , - ,

    DVD - DVD: - ( NC171 , - ), , . DVD- , - ( 3:2) , ( 4:3).

    , , , -

    ,

    . , -

    . ,

    ( ). ,

    3,5 , 9 . DVD- , ,

    DVD-R ( ) DVD-RW ( ) - . DVD , -

    , .

    NC17 , . . .

  • 1 0 8 2

    -

    , -

    : , ( -) - (, ). . -

    - , -

    .

    , , . 2.23. ,

    . -

    , DIMM , , -

    -.

    ( -), ( -).

    SCSI

    . 2.23.

    . 2.24. -

    , -,

    - :

    , -

    -, .

    , .

    , (, ), . () , -

  • -

    109

    ,

    ,

    . . , -

    .

    . 2.24.

    -

    . , , -

    ,

    .

    . -

    ,

    . -

    . -

    , ,

    (Direct Memory Access, DMA). , ,

    .

    , , -

    ,

    - . -

    , ,

    .

    -,

    . ,

    - ? , , , .

    -, -

    , -

    . - ,

    -

  • 1 1 0 2.

    . - - ,

    ,

    . -

    .

    ,

    . -

    , -

    , : . , , -

    -

    .

    - ,

    .

    , ,

    . , -

    , --

    IBM PC, - , . IBM , IBM PC PS/2. PS/2 - , -

    PC, - ISA (Industry Standard Architecture ). - , IBM - , -

    , IBM. -

    ISA. , ISA Instruction Set Architecture ( ), - . , ISA IndustryStandard Architecture ( ).

    , , -

    , , -

    . , -

    ,

    ISA EISA (Extended ISA -). EISA ISA, . - PCI (Peripheral ComponentInterconnect ). - Intel, -, ( -) .

    PCI. . 2.25. -

  • - 111

    -

    . PCI -, , -

    PCI. , SCSI-, - PCI. , PCI - ISA, ISA . 3 4 PCI 3 4 ISA, - ISA ( ), PCI ( 1).

    -.

    .

    SCSI

    -

    PCI

    SCSI

    SCSI_|

    SCSI

    PCI

    ISA

    ISA

    . 2.25. PCI ISA. ISA; SCSI- PCI

    : . -

    -

    . , -

    , ,

    .

    .

    .

    1 ,

    ISA. . . .

  • 1 1 2 2.

    . IBM PC - , -

    . -

    .

    -

    ( ). , . , -

    , . -

    ,

    . -

    , , .

    ( - ). - ,

    , ( 1 102). , . , SHIFT, >, SHIFT, - , , .

    SHIFT, CTRL ALT - ( CTRL-ALT-DEL, IBM PC ). -

    , -

    . - -

    ,

    , . 2.26, . ( : , - .) () - 50 , . ,

    . , , , -

    , .

    -

    , , -

    . -

    ,

    , . -

    ( 400 1000) , -

    . 30 60 -

    1. . 2.26, 6. -

    1 - ( ,

    ) 150 . , , - , . . . .

  • -

    113

    - , -

    , -

    ( ).

    \

    . 2.26. - (); - ()

    -

    . ,

    , ,

    . , -

    , . -

    , , -

    .

    , .

    -

    ,

    . -

    . ,

    , -

    .

    ,

    , , , .

    (Rheinitzer) 1888 ( -, . .) 1960 . , -

    . , -

    , .

    , ,

  • 114 2.

    , . -

    .

    -

    , -

    . -

    .

    . , , , -

    . -

    , -

    . ,

    . -

    . 2.27, . -

    , -

    .

    , ,

    . 2.27, . . () , 90.

    jS

    *-

    *"

    . 2.27. (); , ()

    . -

    .

    . -

    . , -

  • - 115

    , , -

    ,

    .

    , -

    , . -

    .

    , -

    , .

    . -

    .

    , 640x480 640 , 480 . ,

    ,

    , , .

    . ., -

    , - . -

    60 , ( , - ).

    . -

    , ,

    , .

    . , -

    .

    , .

    , ,

    , . -

    , , -

    , . -

    .

    : , - RS-232-C. - , ,

    , ,

    . .

    : . . 2.28 , - ( -). , ,

    .

  • 1 1 6 2.

    \ \ \ \

    2221 -;

    ABC

    . 2.28.

    ,

    . ,

    , . -

    , ,

    , . , 25x80 - 4000 (2000 2000 ). - .

    - -

    , .

    ,

    . ,

    , .

    , -

    , ,

    .

    25x80, , - . . -

    . -

    640x480 , 800x600 . 1280x960 . -, , , -

    . , -

    .

    . 2.28. - - .

    ,

    . , -

    , , 9x14 - . -

    .

    .

    8, 16 24 .

  • - 117

    , -

    . , -

    . , -

    ,

    .

    , -

    . -, -. -

    640x480 (VGA), 800x600 (SVGA), 1024x768 (XVGA) 1280x960. , 4:3, .

    , 8 , 3 . , 1024x768 2,3 -.

    - -

    . 8- . - ,

    256 , 24 . , . ,

    , -

    2/3, 256 . , . , -

    ,

    .

    .

    ,

    , , , .

    - -

    , -

    .

    1024x768, 2,3 - .

    25 , 57,6 /. (E)ISA , - PCI, .

    , , -

    . , -

    . ,

    ,

    , .

    RS-232-C , ( ). () () ,

    RS-232-C. RS-232-C 25 .

    RS-232-C , - .

  • 118 2.

    ,

    . , ,

    RS-232-C, ,

    , (-). - .

    . 2.29 , .

    , , , -

    , RS-232-C, , , .

    -

    RS-232-C

    ()

    ABC

    / S i

    (1) * ~ (2)- * (3)-** (4)- * (5)- * (6)

    (7)- * (8) * - (20)

    . 2.29. RS-232-C .

    , , -

    UART (Universal Asynchronous Receiver Transmitter - ), . ,

    UART, RS-232-C . UART (1 ), ,

    . UART - , .

    110 / 2 .

  • - 119

    UART , . ,

    , -

    , UART -.

    RS-232-C 25 , - ( , - , ). 2 3 . - ( , ). , - ( 1), -, . -

    , .

    , .

    , , -

    . ,

    .

    , , -

    . ENIAC , . 50- - . ,

    ( ), -.

    -

    , . -

    , -

    . .

    ,

    . , ,

    . ,

    ,

    . ,

    . ( ), - , .

    : , . , -

    . -

    , , , .

    (). - , , ,

    , -

  • 120 2.

    . -

    , , -

    . . 2.30.

    \

    /

    . 2.30.

    . , .

    ,

    . -

    , , -

    . , -

    , ,

    . -

    .

    . , -

    , , , -

    . . -

    , . ,

    ,

    .

    .

    -, -

    : 3 , (, 0,01 -). . , , -

    .

    . -

    . .

  • - 1 2 1

    , , -

    . ,

    .

    , ,

    , ,

    .

    , -

    World Wide Web, -. -

    ( -) .

    , -

    . 7 24 , . 7 , , 80 5x7. 7 , 5x80=400 . - , . . 2.31, *>, 5x7.

    : . . 2.31, , 24 , .

    , , -

    . , -

    .

    . 2 . 3 1 . 5x7 (); , 24 . ()

  • 1 2 2 2.

    ( ) , , , .

    , , . -,

    ( 30 ). -, (, , , -

    ). -, - ,

    .

    . -

    .

    , -

    .

    ,

    , . , -

    , . -

    , /-. 300 dpi (dotsper inch ) 720 dpi, - 1440 dpi. , - , , -

    , .

    ,

    , XV , . ,

    , .

    ,

    . ,

    , .

    . 2.32. ( - ). 1000 -. ( ) - - ,

    . -

    , -

    . , , .

    ,

    . -

    ( ). - , , -

    . ,

    . -

    , . ,

    . .

  • -

    123

    . 2.32.

    ,

    , , . -

    ,

    . -

    . -

    , -

    , , . -

    , , -

    ( , - ). PCL PostScript.

    300 dpi - - , , -

    . ,

    600 dpi, - (600 dpi). - 600x600 /, - 0 ( ) 255 ( ). 600 dpi, ( ), ( ). - .

    -

    ( ). , 6x6 . 0 36 .

  • 124 2.

    , . -

    0 255 . 37 . 0 6 0, 7 13 1 . . (36 , , 256 37 ). - 0, , . 2.33, . 1 . 2 , . 2.33, . . 2 33, 600 dpi, - 100 /. - lpi (linesper inch )

    . 2.33. 0-6 (); 14-20 (), 28-34 (),56-62(), 105-111 (); 161-167 ()

    : -

    . , -

    , - .

    -

    ' , .

    . -

    , -

    : -

    ( ), ( ) ( ). -, .

    ,

    .

    : , -

    , . CYMK ( Cyan (-), Y Yellow () Magenta () ()). black , Blue (). -, , , -

    .

    , , -

    ,

    .

  • - 125

    256 , 16 777 216 . ,

    . , -

    , -

    .

    , ,

    , -

    . :

    1. ; - .

    2. - 256 ; - .

    3. ; .4. RGB (Red, Green, Blue , , ) CYMK

    .

    -

    ( ), -, .

    , -

    CYMK. . - , , -

    ( , , ). ,

    (, , - ).

    . . -

    , .

    . ,

    , -

    . -

    , . , -

    . , ,

    . ,

    , .

    . -

    ,

    .

    . -

    4 , . 10 (, - , ). ,

    .

  • 126 2.

    .

    , , -

    (, , ) . -

    , 1200x1200 dpi 80 115 . 4 , 55 - , , -

    , . . -

    , .

    .

    .

    , -

    . ,

    . -

    CYMK. - ,

    .

    .

    1, -

    . , , ( - ). , , - CYMK , - .

    . -

    256 . -, . -

    ,

    ,

    . -

    . .

    -

    . ,

    , -

    ,

    .

    , 0 0 , 1 3 5 , . 2.34, .

    ; 3. . -. .

  • -

    127

    , , -

    . -

    1000 2000 , , , -

    .

    ,

    . , , -

    , . 2.34. - . ( 2.34, 6) - , 0 1 . , 1 , 0 .

    2

    1

    1 0 0

    1

    1 -

    0 1 1 0 0

    I

    0

    I

    1

    . 2.34. 01001011000100 (), (); ();

    ()

    (. 2.34, ) , 1 0. - : 0, 1. .

    (. 2.34, ) - , 180 , - 0 1 1 0. -

    45,135, 225 315 , 2 . . ,

  • 1 2 8 2.

    45 00, 135 01 .

    ( -) 1 - . ,

    .

    8- , - 8 , 8 . , , -

    ,

    ( , ). -,

    ( ) , , . -

    8- - , , 10 .

    , 9600 , 104 , , . -

    , ,

    28 800 / 57 600 /, . - 1 , , . -

    , ,

    . , -

    ( , , ,

    ), . , - , .

    ISDN 80- , ISDN (IntegratedServices Digital Network ). , ,

    ,

    World Wide Web, . - -

    ISDN ( ). .

    ISDN, - . ( , .)

  • - 129

    ,

    64 000 /, 16 000 /. , -

    144 000 /. - 30- ISDN.

    ISDN , , ( 1 ), - , , ,

    . , ISDN , .

    ISDN . 2.35. - , . -

    .

    NT1 - U-- .

    NT1, .

    ISDN

    ISDN

    ISDN ISDN

    -

    ISDN

    . 2.35. ISDN

    , . -

    26 26 1, 0 9, : , , , ,

    . .

    , -

    : , =1, =2,..., z=26, +=27, -=28. . ,

    , -

    . .

    .

    . . .

  • 130 2

    ASCII ASCII (American Standard Codefor Information Interchange -) ASCII- 7 , , - 128 ( 2 5) 0 1F ( ) ,

    ASCII -, SOH (Start ofHeader), , STX (Start of Text), -, (End of Text) , , EOT (End of Transmission) , - , -, -

    ASCII ASCII

    , , .

    2.5. ASCII

    0

    1

    2

    3

    4

    5

    6

    7

    8

    9

    NUL

    SOH

    STX

    EOT

    ENQ

    BEL

    BS

    LF

    VT

    Null ()Start of Heading{)Start of Text( )End of Text( )End ofTransmission( )ENQunty()

    ACKnoligement()Bell ()Backspace( )Horizontal Tab()Line Feed( )Vertical Tab()

    10

    11

    12

    13

    14

    15

    16

    17

    18

    19

    1

    1

    DLE

    DC1

    DC2

    DC3

    DC4

    NAK

    SYN

    CAN

    SUB

    ESC

    Data Link Escape ( )Device Control 1( )

    Device Control 2( )Device Control 3( )Device Control 4

    ( )

    Negative AcKnolidgement()SYNcronous idle ()

    End of Transmission Block( )CANcel ()

    End of Medium ()

    SUBstitute ()ESCape ()

  • -

    131

    D

    11.

    FF

    CR

    SO

    SI

    From Feed()Carnage Return( )Shift Out{

    )Shift In(

    )

    1

    1D

    1

    1F

    FS

    GS

    RS

    US

    File Separator( )

    Group Separator( )Record Separator( )

    Unit Separator( )

    202122232425262728292222D22F

    ()I

    #

    %

    &

    ()

    +

    -

    /

    30313233343536373839

    3D

    3F

    0123456789;;

    9

    404142434445464748494444D44F

    @

    D

    FG

    IJ

    L

    N

    505152535455565758595555D55F

    QRS

    VWXYZ[\]-

    _

    606162636465666768696666D66F

    d

    fghi

    JkIm

    n

    707172737475767778797A7B77D77F

    q

    S

    t

    VWX

    Z

    {I}~

    DEL

    UNICODE , -

    ASCII. , .

    (, systeme), (, far) . . , ASCII, , 3 0. (, ), (, -). , - ,

    , -

    .

  • 1 3 2 2.

    ASCII IS 646, ASCII 128 , 8- Latin-1.

    . IS 8859, . 256 . IS 8859-1 - Latin-1. IS 8859-2 (, , ). IS 8859-3 , ,

    . . , -

    , -

    , .

    .

    , -

    UNICODE, (IS 10646). UNICODE (, Java), (, Windows NT) . ,

    .

    UNICODE - 16- , . - escape- . 16 , .

    UNICODE 16 , 65 536 - .

    200 000 , , .

    , , UNICODE, - .

    UNICODE, Latin-1 0 255, ASCII UNICODE.

    .

    .

    , 16 . UNICODE . - ( ): (336), (144), (256), (96), (112), (128),(128), (128), (128)(128). , , . -

    , . -

    , : - . ,

    , : , .

    , (112), (112), (48), - (48), (256), (96) - (192).

  • 133

    , .

    1024 (, ), -, (20 992), - (11 156).

    , -

    6400 . UNICODE , -

    , . ,

    , ,

    cat? dog , - , , -

    , .

    , . 50 , , , , -

    , .

    . . ,

    20 000 - ( ). , - , , . -

    -

    . UNICODE - .

    UNICODE , - , -