68
SCM 포지션 DRAM 과 NAND 의 중간 SCM 은 단기 신규수요 창출, 중장기 기존메모리 대체 자료: Western Digital, 교보증권 리서치센터 자료: Western Digital, 교보증권 리서치센터 Industry Report 반도체산업 Nov 15, 2016 Overweight 유지 Top Picks 종목명 투자의견 목표주가(12M) 삼성전자 Buy 2,000,000 SK 하이닉스 Buy 52,000 테스 Buy 32,000 동부하이텍 Buy 30,000 반도체 최도연 3771-9707, [email protected] Tech이해해야 전략이 보인다 (Vol. 5) - 무어의법칙 한계와 차세대 메모리 무어의법칙 한계와 데이터 트래픽 급증으로 SCM대한 시장 관심 고조 공정 미세화 관련 원가 수준이 지금까지 메모리 반도체 업체들의 위상을 결정. 향후 무어 법칙 한계로 원가 요소뿐만 아니라 차별화 요소도 메모리 반도체 산업에 중장기 핵심 전략으로 자리 잡을 전망. 후공정 기술 , 원칩 솔루션, SCM( 차세대 메모리 ) 등이 차별화 소로 부각될 가능성 높으며 , 특히 SCM 기술이 스토리지 성장과 맞물려 반도체 시장에 진적으로 침투할 전망 . 최근 인텔이 공개한 3D X-PointSCM으로 분류 . SCM단기 적으로 고성능 스토리지 시장에서 버퍼 메모리 또는 고속 스토리지 역할로 침투할 전망이 , 중장기적으로 기존 메모리와 원가 격차가 축소된 시점에서 기존 메모리 시장을 일부 대체할 전망 . , SCM해결해야 기술적 난제들이 여전히 많은 것으로 파악되어 , 당분 메모리 시장의 핵심 이슈는 “3D NAND 기술 전환에 의한 SSD 시장 확대전망 SCM점진적 시장 침투 전망. 2017년에도 3D NAND핵심 이슈 인텔 3D X-Point시장 진입에도 , 3D NAND단수 증가에 의한 빠른 원가 개선으로 향후 스토리지 시장 성장을 대부분 장악할 전망. IT Divergence 흐름 , 4산업혁명으로 진입 등으로 데이터 트래픽이 급증하는 과정에서 SSD 스토리지 시장 수요가 급성장 하고 있고 , NAND 기술이 2D NAND에서 3D NAND전환되면서 , 3D NAND 시장은 Big Cycle 진행 . 이에 향후 수년간 삼성전자가 매년 10조원 내외의 3D NAND 투자를 집행할 것으로 전망하며 , 도시바, SK하이닉스, 마이크론 NAND 후발 업체들도 공격적 으로 3D NAND 투자에 대응할 것으로 전망. 2017년에도 메모리 반도체 산업 핵심 테마 “3D NAND Big Cycle”. 2017반도체 최선호주로 대형주 삼성전자와 SK하이닉 스를 , 중소형주 테스와 동부하이텍을 추천

Industry Reportm.iprovest.com/weblogic/RSDownloadServlet?filePath=... · 반도체산업 Tech를 이해해야 전략이 보인다 (Vol. 5) Research Center6 2. 반도체 공정 미세화

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

SCM 포지션 – DRAM과 NAND의 중간 SCM은 단기 신규수요 창출, 중장기 기존메모리 대체

자료: Western Digital, 교보증권 리서치센터 자료: Western Digital, 교보증권 리서치센터

Industry Report

반도체산업

Nov 15, 2016

Overweight 유지

Top Picks

종목명 투자의견 목표주가(12M)

삼성전자 Buy 2,000,000원

SK하이닉스 Buy 52,000원

테스 Buy 32,000원

동부하이텍 Buy 30,000원

반도체 최도연 3771-9707, [email protected]

Tech를 이해해야 전략이 보인다 (Vol. 5) - 무어의법칙 한계와 차세대 메모리

무어의법칙 한계와 데이터 트래픽 급증으로 SCM에 대한 시장 관심 고조

공정 미세화 관련 원가 수준이 지금까지 메모리 반도체 업체들의 위상을 결정. 향후 무어

의 법칙 한계로 원가 요소뿐만 아니라 차별화 요소도 메모리 반도체 산업에 중장기 핵심

전략으로 자리 잡을 전망. 후공정 기술, 원칩 솔루션, SCM(차세대 메모리) 등이 차별화 요

소로 부각될 가능성 높으며, 특히 SCM 기술이 스토리지 성장과 맞물려 반도체 시장에 점

진적으로 침투할 전망. 최근 인텔이 공개한 3D X-Point도 SCM으로 분류. SCM은 단기

적으로 고성능 스토리지 시장에서 버퍼 메모리 또는 고속 스토리지 역할로 침투할 전망이

며, 중장기적으로 기존 메모리와 원가 격차가 축소된 시점에서 기존 메모리 시장을 일부

대체할 전망. 단, SCM은 해결해야 할 기술적 난제들이 여전히 많은 것으로 파악되어, 당분

간 메모리 시장의 핵심 이슈는 “3D NAND 기술 전환에 의한 SSD 시장 확대”로 전망

SCM은 점진적 시장 침투 전망. 2017년에도 3D NAND가 핵심 이슈

인텔 3D X-Point의 시장 진입에도, 3D NAND가 단수 증가에 의한 빠른 원가 개선으로

향후 스토리지 시장 성장을 대부분 장악할 전망. IT Divergence 흐름, 제4차 산업혁명으로

의 진입 등으로 데이터 트래픽이 급증하는 과정에서 SSD 등 스토리지 시장 수요가 급성장

하고 있고, NAND 기술이 2D NAND에서 3D NAND로 전환되면서, 3D NAND 시장은

Big Cycle 진행 중. 이에 향후 수년간 삼성전자가 매년 10조원 내외의 3D NAND 투자를

집행할 것으로 전망하며, 도시바, SK하이닉스, 마이크론 등 NAND 후발 업체들도 공격적

으로 3D NAND 투자에 대응할 것으로 전망. 2017년에도 메모리 반도체 산업 핵심 테마

는 “3D NAND Big Cycle”일 것. 2017년 반도체 최선호주로 대형주 삼성전자와 SK하이닉

스를, 중소형주 테스와 동부하이텍을 추천

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

2 Research Center

3 0. Key Charts

5 1. Summary

6 2. 반도체 공정 미세화 속도 둔화

2-1. 반도체 미세선폭의 의미

2-2. 반도체 미세선폭 현황 및 전망

16 3. 반도체 업체들의 전략 변화 필요

3-1. 차별화 요소 부각될 전망

3-2. 차별화 부각 요소 – 후공정, 원칩화, 차세대반도체

26 4. SCM의 시장 진입

4-1. SCM의 포지셔닝

4-2. SCM의 종류

4-3. SCM의 시장 침투 전망

4-4. SCM이 메모리 반도체 시장에 미치는 영향

4-5. SCM 시장에서 인텔 vs 삼성전자

4-6. SCM 시장에 대한 당사 전망 요약

47 5. 2017년 반도체 시장 전망

5-1. 여전히 Main Theme는 3D NAND

5-2. 2017년 DRAM 시장 전망

5-3. 반도체 장비/소재업체 - 2017년에도 Big Cycle 수혜

56 기업분석

삼성전자 005930 / Buy / TP 2,000,000원

SK하이닉스 000660 / Buy / TP 52,000원

테스 095610 / Buy / TP 32,000원

동부하이텍 000990 / Buy / TP 30,000원

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

3 Research Center

0. Key Charts

무어의 법칙 한계와 SCM의 시장 침투

[도표 1] 인텔 틱톡 전략 포기 – 무어의 법칙 한계 [도표 2] 반도체 MOSFET 공정 난제들

자료: Intel, 교보증권 리서치센터 자료: Intel, 교보증권 리서치센터

[도표 3] 반도체 시장 패러다임 변화 – 데이터 중심 [도표 4] 메모리/스토리지 사이 병목현상 – 고성능 스토리지 요구

자료: IBM, 교보증권 리서치센터 자료: IBM, 교보증권 리서치센터

[도표 5] 인텔의 3D X Point – SCM의 한 종류 [도표 6] 차세대 메모리는 DRAM과 NAND의 장점을 보유

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

4 Research Center

[도표 7] SCM 포지션 – DRAM과 NAND의 중간 [도표 8] SCM은 단기 신규수요 창출, 중장기 기존메모리 대체

자료: Western Digital, 교보증권 리서치센터 자료: Western Digital, 교보증권 리서치센터

2017년 반도체 시장 전망 – 3D NAND 투자 Big Cycle에 의한 파급효과

[도표 9] 2D NAND를 3D NAND 원가가 곧 추월 [도표 10] 전세계 3D NAND 투자가 확대될 수 밖에 없는 이유

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

[도표 11] 2016년 업체별 DRAM 공급 Bit Growth 추정 [도표 12] 전세계 DRAM Bit Growth 추이 및 전망

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

36.8

24.4

20.0

28.3

0

5

10

15

20

25

30

35

40

삼성전자 SK하이닉스 마이크론 전세계

(%)

0

10

20

30

40

50

60

2011 2012 2013 2014 2015 2016E 2017E

(%)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

5 Research Center

1. Summary

무어의 법칙 한계로 메모리 반도체 차별화 요소 부각될 전망

무어의 법칙은 공정미세화를 설명하는 법칙인데, 반도체 업체들은 공정미세화가 진행될수록

성능 개선과 원가 절감의 두 가지 효과를 얻는다. 그런데 최근 인텔이 틱톡 전략을 포기하고,

DRAM 공정 개발 속도가 둔화되는 등 무어의 법칙이 한계를 맞고 있다. 메모리 반도체 시장

에서는 공정미세화에 근거한 원가 개선이 가장 중요한 경쟁력 요소였는데, 향후에는 원가 개선

속도 둔화로 과거 대비 차별화 요소가 부각될 가능성 높다. 메모리 반도체 업체들은 차별화 전

략으로 후공정 기술, 원칩 솔루션, SCM(Storage Class Memory, 차세대 메모리) 등으로 중

장기 기술을 채택할 것으로 보인다. 특히 SCM이 데이터 중심 반도체 시장 환경과 맞물려, 고

성능 스토리지 시장을 대응할 기회를 잡을 전망이다.

SCM의 시장 침투 방향성은 맞으나, 침투 속도는 늦을 전망

인텔이 최근 3D X-Point라는 신규 메모리 기술을 제시하면서, 최근 반도체 시장에서는 SCM

에 대한 관심이 고조되고 있다. 3D X-Point는 SCM의 한 종류로 DRAM과 NAND의 장점을

동시에 보유한 메모리 반도체이다. 참고로, SCM에는 3D X-Point 외에도 STT-MRAM,

PCRAM, ReRAM, FRAM 등이 존재한다. SCM은 단기적으로는 프리미엄 서버 시장에서 메모

리/스토리지 사이의 병목 현상을 해소시킬 버퍼 메모리 역할로 침투할 전망이며, 중장기적으로

는 DRAM 및 NAND 원가 개선이 막히고 SCM이 원가 격차를 축소시킨 시점에서 DRAM 또

는 NAND 대체 역할로 침투할 것으로 기대된다. 단, 근본적으로 NAND 대비 집적도에서,

DRAM 대비 Speed에서 열위인 것으로 추정되어, 기존 메모리 시장을 대체하기는 오랜 시간

이 소요될 전망이다. 이에 삼성전자의 3D NAND 원가 개선에 의한 SSD 시장 확대 흐름은 당

분간 지속될 것이며, 향후 수년간 메모리 반도체 시장에서는 3D NAND 기술 경쟁이 핵심 이

슈로 지속 부각될 전망이다.

3D NAND Big Cycle이 중장기 핵심 이슈. 최선호주 삼성전자, SK하이닉스, 테스, 동부하이텍

현재의 메모리 반도체 시장을 요약하면, IT Divergence 흐름, 제4차 산업혁명으로의 진입 등

으로 데이터 트래픽이 급증하는 과정에서 SSD 등 스토리지 시장 수요가 급성장하고 있고,

NAND 기술은 2D NAND에서 3D NAND로 공정 전환이 발생하면서, 3D NAND 신규 증설

투자가 삼성전자에게 집중되고 있다는 것이다. 이에 삼성전자는 리스크 없는 구간(공급 초과

없는 구간)에서 NAND M/S를 확대하고 있고, DRAM 및 NAND 업황은 제한적인 공급 하에

타이트한 수급이 지속되는 수혜를 받고 있다. 또한 전세계 메모리 반도체 관련 장비/소재 업체

들은 신규 증설 확대 수혜를 받고 있고, 특히 3D NAND 관련 장비/소재 노출도가 높은 업체

들이 더 큰 실적 성장을 보이고 있다. 당사는 대형주 최선호주로 3D NAND 경쟁력이 부각될

삼성전자(TP 2,000,000원)와 DRAM 투자 축소 수혜를 받을 SK하이닉스(TP 52,000원)를,

중소형주 최선호주로 3D NAND 투자 Big Cycle의 최대 수혜주 테스(TP 32,000원)과 반도

체 업체들 중 밸류에이션 매력이 단연 돋보이는 동부하이텍(TP 30,000원)을 추천한다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

6 Research Center

2. 반도체 공정 미세화 속도 둔화

2-1. 반도체 미세선폭의 의미

2-1-1. 무어의 법칙

무어의 법칙 의미

인텔의 공동 설립자인 고든 무어는 Fairchild의 연구원 시절인 1965년 경험적 관찰을 통해 반

도체 칩의 용량이 “매년” 2배씩 증가할 것으로 예측하였다. 무어는 1975년 24개월로 수정하

였으나, 이후 반도체 업계에서는 “18개월”로 정의하고 있다. 당시에는 그 속도 예측이 너무 빠

르다는 평을 받았으나, 이후 실제로 트랜지스터 숫자가 기하급수적으로 증가하는 것이 확인되

면서 법칙으로 명명되었다. 즉, 무어의 법칙은 반도체 전공정 미세화의 개발 속도가 매우 빠른

속도로 진행되어 왔다는 것을 의미한다.

[도표 13] 지금까지 반도체 기술은 무어의 법칙에 의해 주도 – 약 50년간 지켜진 법칙

자료: Economist, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

7 Research Center

공정 미세선폭의 의미 – ①성능 개선, ②원가 개선

무어의 법칙은 전공정 기술에 집중된 공정미세화를 설명하는 법칙이다. 반도체 업체들이 반도

체 공정 선폭을 미세화시키는 이유는 ①성능 개선과 ②원가 절감의 두 가지 효과를 얻을 수 있

기 때문이다. 반도체 미세화가 진행될 수록, 전자가 이동되는 Source와 Drain 사이의 간격이

좁아지면서 전자 이동이 빨라지는 효과가 생긴다(단, 누설전류 등 미세화에 따른 반대급부를

기술적으로 해소시켰다는 가정 하에). 또한 감가상각비, 인건비, R&D 비용 등으로 웨이퍼당

원가가 결정된 상황에서 공정 선폭이 미세화될 수록, 웨이퍼 내 칩 수량 증가에 의한 칩당 원

가 개선 효과도 발생한다.

[도표 14] 반도체 공정 미세화에 의한 반도체 성능 개선

자료: Intel, 교보증권 리서치센터

2-1-2. 공정 미세선폭 어디까지?

무어의 법칙을 지켜왔던 인텔의 틱톡 전략

무어의 법칙은 인텔의 틱톡 전략에서 확인되어 왔다. 전세계 반도체 시장 1위 업체인 인텔은

틱톡(Tick-Tock) 전략으로 매년 자사 반도체 칩 성능을 향상시켜 왔다. 틱(Tick)은 기존 설

계 유지, 신 공정기술 도입이고, 톡(Tock)은 신 설계기술 도입, 기존 공정기술 유지로 요약된

다. 인텔은 새로운 Transistor 기술 도입을 성공적으로 진행하면서 2년 주기로 틱-톡 전략을

실행해 왔다. 90nm 공정에서 SiGe Strained Silicon 기술을, 45nm 공정에서 High-K Metal

Gate를, 22nm 공정에서 Tri-Gate(FinFET)를 성공적으로 도입한 결과였다. 그러나 22nm

공정 이후부터 신규 공정 기술 확보에 난항을 겪으며 그 속도가 둔화되고 있다. Tri-Gate를

도입한 22nm 공정에서 아이비브릿지, 하스웰, 하스웰 리프레시 등 3단계를 사용하였는데,

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

8 Research Center

14nm 공정 도입에 생각보다 시간이 소요되었기 때문이다. 이후 인텔은 최근 3단계로 신제품

을 출시하기 시작하였으며, Process(신규 공정 도입), Architecture(신규 설계 도입),

Optimization(상품성 개선)이 그것이다. 14nm 공정에서도 역시 브로드웰, 스카이레이크, 카비

레이크의 3단계로 신제품 출시를 진행하고 있다. 전세계 반도체 시장 1위 업체이면서 수십년

간 반도체 기술을 무리 없이 선도해 왔던 인텔이 공정기술 확보에 난항을 겪고 있는 현재상황

은 그만큼 반도체 공정 미세화가 어려운 국면에 진입했다는 것을 확인시켜 주는 증거이다.

[도표 15] 인텔의 공정 기술 로드맵

자료: Intel, 교보증권 리서치센터

[도표 16] 인텔의 신제품 출시 전략 변경

자료: Intel, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

9 Research Center

공정 미세화가 느려지는 이유

반도체 공정 미세화가 어려워지는 가장 큰 이유는 양자역학적으로 Transistor 선폭이 미세화

될수록 터널 효과(Tunneling Effect)에 의한 누설전류(Leakage Current) 발생 확률이 높아

지기 때문이다. Si 기반의 현재 반도체 Transistor 공정은 근본적으로 물리적 한계를 보유하고

있는 셈이다. 그 물리적 한계가 어디까지인지에 대해 학계나 산업계에서는 다양한 시각이 존재

할 수 있으나, 수 nm 수준에서 한계에 도달할 것이라는 것이 일반적인 입장이다.

[도표 17] 반도체 MOSFET에서 극복해야 할 이슈들

자료: Intel, 교보증권 리서치센터

[도표 18] Transistor에 존재하는 누설전류

자료: Gigglehd, 교보증권 리서치센터

최근 삼성전자 반도체 총괄 김기남 사장 코멘트

삼성전자 반도체 총괄 김기남 사장은 최근 한 강연에서 “반도체 미세화 공정의 한계에 대해 이

야기하자면 이론적으로 1.5nm 구현 가능하며, 실제 기술적으로도 3~5nm까지도 문제 없을

것으로 본다”고 언급했다. 비메모리 반도체 기술이 10nm 공정 본격 양산을 앞두고 있는 상황

에서 3~5nm까지 구현 가능하다고 표현한 것은 사실상 수년 또는 십여년 안에 반도체 공정

미세화가 한계에 부딪힐 것임을 의미한다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

10 Research Center

2-2. 반도체 미세선폭 현황 및 전망

2-2-1. DRAM/NAND/비메모리 미세선폭 수준 비교

공정미세화 난이도: DRAM > NAND > 비메모리

비메모리 반도체 업체들이 내년 10nm 공정 선폭을 본격 양산할 것으로 전망되는 상황에서

DRAM, NAND 등 메모리 반도체에서는 선두 업체인 삼성전자가 이제 1Xnm(18nm급) 또는

1Znm(14nm급)에 진입하고 있다. 현재 반도체 업체들의 공정 미세 선폭을 비교하면 비메모

리보다 메모리가, 메모리 안에서는 NAND보다 DRAM이 더 많은 어려움을 겪고 있는 것으로

보인다. 메모리 반도체가 비메모리 반도체보다 공정 미세 선폭 개발이 후행하는 이유는 전하를

저장하는 기능이 별도로 필요하기 때문이다. 특히, 구조적으로 Capacitor를 별도로 공간화하는

DRAM이 그렇지 않은 NAND보다 공정 개발이 늦고 있다.

[도표 19] DRAM / NAND / 비메모리 주력 미세 선폭 비교 (양산 기준) 업체 16년 최신 미세선폭 17년 최신 미세선폭 공정 핵심 이슈

DRAM 선발업체 2Znm 1Xnm Capacitor 공정

후발업체 2Y/2Znm 2Znm (Refresh 문제)

NAND 선발업체 1Znm 1Znm / 3D NAND 전하밀도, 커플링 등

후발업체 1Ynm 1Znm 3D 전환 필요

비메모리 선발업체 14/16nm 10nm FinFET

후발업체 14/16nm 14./16nm 자료: 교보증권 리서치센터

2-2-2. DRAM 공정기술 현황 및 전망

DRAM Refresh 개선 - ①Capacitor 특성 개선 (Cell Capacitance 증가)

DRAM 공정 개발이 상대적으로 늦은 이유는 DRAM 고유의 Capacitor 구조 때문이다.

DRAM Capacitor의 특성은 유전율이 높을수록, 두께가 얇을수록, 면적이 넓을수록 좋아진다.

따라서 Capacitor 특성을 높이는 방법은 유전율이 높은 물질을 사용하고, Capacitor 두께를

더 얇게 증착하고, Capacitor 면적을 더 넓게 구현하는 것이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

11 Research Center

[도표 20] DRAM Capacitor 모식도 [도표 21] DRAM Capacitance 성능은 세 가지에 의해 결정

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

DRAM Refresh 개선 - ②누설 전류 개선

DRAM Cell에서 누설전류 성분은 다양하게 존재한다. Cell Capacitor Dielectric Leakage,

Cell Transistor off-Leakage, GIDL(Gate Induced Drain Leakage), Cell Junction

Leakage, STI Interface States Generation Leakage 등이 누설전류 성분이다. 선폭이 미세

화될수록 누설전류 관련 이슈도 갈수록 부각될 수 밖에 없다.

[도표 22] DRAM Cell 누설전류 성분

자료: 삼성전자, 교보증권 리서치센터

𝐶𝐶 =𝜀𝜀𝜀𝜀𝑑𝑑

유전율이 높을수록, 면적이 넓을수록, 두께가 얇을수록 Capacitor 성능 향상

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

12 Research Center

DRAM 공정 미세화는 과거 대비 더 어려워질 전망

게다가 Bit Line Capacitance 문제 부각, Air Gap 공정 적용 어려움 등 DRAM에만 특화된 이

슈들이 추가적으로 노출되고 있는 상황이어서, 향후 DRAM은 공정미세화를 진행하는데 과거

보다 현저히 둔화될 것으로 예상된다.

[도표 23] 삼성전자 DRAM 전환 효율 추이 (교보증권 추정) – 둔화되고 있는 추세

자료: 교보증권 리서치센터

2-2-3. NAND 공정기술 현황 및 전망

NAND는 2D 구조에서 3D 구조로 전환

NAND는 2D NAND에서 3D NAND로 빠르게 전환될 전망이다. 2D NAND는 예년의 공정

개발 속도를 회복할 수 없기 때문이다. 근본적으로 문제가 되고 있는 Floating Gate 내 전하

밀도 감소, Gate to Gate 커플링, IPD Layer Gap-fill 이슈 등은 선폭을 미세화시킨 후 동작시

키는데 발생하는 문제들이다. 2D NAND 공정 문제점들이 임계치에 가까워져 있는 상황에서

근본적으로 이를 해결할 방법은 보이지 않는다. 2D NAND에서 Tech Migration이 계속될 수

는 있다. 그러나 그 속도는 현저히 급감할 것이다.

2D NAND 공정이 어려운 이유 – 근본적 해결 어려움

NAND에서 선폭이 미세해 질수록 심화되는 공정 문제점들은 ①Floating Gate 내 전하 밀도

감소, ②Gate to Gate 커플링, ③IPD Layer Gap-fill 이슈 등이다.

0

20

40

60

80

100

6Xnm 5Xnm 4Xnm 3Xnm 2Xnm 2Ynm 2Znm 1Xnm

(%)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

13 Research Center

[도표 24] 2D NAND 공정 미세화 문제

자료: SanDisk, 교보증권 리서치센터

NAND 원가 개선은 3D 구조에서 적층 단수 증가로 해소할 전망

삼성전자의 3D NAND는 24단 MLC에서 32단 MLC, 32단 TLC, 48단 TLC로 진화해 왔으

며, 내년에는 64단 TLC로 진화할 전망이다. 2D NAND 기술 개발 속도가 둔화되고 있는 상

황에서 3D NAND는 여전히 빠른 속도로 기술 개발이 이루어지고 있다. 향후 3D NAND가 단

수 증가를 통해 2D NAND 공정미세화 둔화 문제를 해소시켜줄 전망이다.

2-2-4. 비메모리 공정기술 현황 및 전망

10nm 공정에서도 FinFET / ArF 적용 예상

비메모리는 그나마 메모리보다 수월하게 공정미세화를 진행시켜 왔다. 비메모리 14nm 공정

다음 선폭으로 선두권 비메모리 파운드리 업체들은 내년부터 10nm 공정을 본격 양산할 계획

이다. 삼성전자, TSMC 등 선두권 파운드리 업체들은 10nm급 공정을 14nm 공정과 큰 틀에

서 유사한 scheme으로 개발한 것으로 파악된다. 10nm 공정에서도 FinFET 공정이 적용될

전망이며, EUV 광원이 아닌 기존 ArF 광원이 사용될 전망이다. 10nm 공정이 14nm 공정보

다 더 어려운 것은 당연하겠지만, 14nm와 유사한 Scheme에서 개발이 진행 중이라는 점에서

14nm급 공정에서 가장 앞서 있는 삼성전자와 TSMC가 10nm급 개발에서도 업계 우위에 설

전망이다. 삼성전자가 FinFET 공정 우위로 10nm급 공정에서도 기술을 선도할 경우, 적어도

7nm급 공정이 도입될 2018년~2019년경까지 삼성전자 비메모리 실적은 안정적 성장을 지속

할 전망이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

14 Research Center

[도표 25] 비메모리 10nm에서는 2세대 FinFET 공정이 도입될 전망

자료: 삼성전자, 교보증권 리서치센터

7nm급 공정 Scheme은 더 지켜봐야 할 듯

7nm급 공정 기술에 대해서는 아직 명확한 scheme은 아직 정해지지 않은 것으로 파악된다.

인텔, 삼성전자, TSMC 등이 여러 가지 기술에 대해 심도 있는 연구를 진행 중인데, 나노 와이

어, Strained Silicon, FD-SOI 등에 대한 복합적인 신기술 적용이 필요해 보인다. 또한, 노광

공정은 ArF가 아닌 EUV 광원이 사용될 가능성 높아서, 비메모리 7nm 양산 전에 ASML의

양산성 높은 EUV 광원 개발이 필요해 보인다. 지금까지는 비메모리 반도체가 메모리 반도체

보다 공정 미세화에서 상대적으로 무리 없이 진행되어 왔지만, 7nm 공정부터는 제조원가 증가

로 인해 공정 미세화 속도가 둔화될 가능성 높아 보인다.

[도표 26] 비메모리 차세대 공정에 대한 기술 후보들 [도표 27] 비메모리 차세대 공정에 대한 기술 후보들

자료: Intel, 교보증권 리서치센터 자료: Applied Materials, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

15 Research Center

[도표 28] 실리콘 나노와이어 개념 [도표 29] 실리콘 나노와어어 채널 형성

자료: intechopen, 교보증권 리서치센터 자료: KeywordPictures, 교보증권 리서치센터

[도표 30] FD-SOI 개념

자료: SemiWiki, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

16 Research Center

3. 반도체 업체들의 전략 변화 필요

3-1. 차별화 요소 부각될 전망

반도체 미세화 관련 공정기술 확보 수준이 지금까지 반도체 업체들의 위상을 결정지어 왔다.

그러나 무어의 법칙 한계가 보이기 시작한다면, 반도체 업체들은 중장기적으로 과거와 다른 전

략을 펼쳐야 할 것이다.

메모리 – 원가 요소 부각

메모리 반도체 생산 업체들은 생산성 향상을 위해 원가 개선에 주력해 왔다. 웨이퍼 내 칩 수

량을 늘리기 위해 미세선폭을 개선시키는데 R&D의 대부분을 투입한다. 공정 기술력이 높은

업체가 더 높은 이익을 향유하고 시장을 장악한다. 삼성전자가 DRAM 및 NAND 등 메모리

시장을 장악하고 캐파 전략을 주도적으로 펼칠 수 있는 이유는 공정기술이 가장 앞서 있기 때

문이다. 즉, 메모리 반도체 시장의 경쟁력은 미세선폭 공정기술에서 비롯된다.

비메모리 – 차별화 요소 부각

반면 비메모리는 설계와 공정기술 모두 중요하기 때문에 팹리스와 파운드리 시장으로 분업화

되어 있다. 비메모리는 각 세트에 적합한 반도체를 주문 제작하는 방식이기 때문에, 설계 및

공정 모두 원가보다는 각 세트 제품을 부각시킬 수 있는 차별화 요소가 더 중요하다. 반도체를

생산하는 파운드리 업체 입장에서 고객을 확보하는 것이 일차 목표이다. 비메모리 파운드리 업

체가 양질의 고객을 확보하기 위해서는 속도와 소비전력 등 성능을 결정할 공정기술을 확보하

는 것이 중요하며, 고객들에게 일정에 맞추어 물량을 원활히 공급할 수 있는 경험을 보여 주어

야 한다.

메모리 시장 경쟁 심화 가능성

메모리 반도체 산업은 중대한 과도기에 있다. 독과점 구도에서 생산 업체들은 높은 수익성을

누리고 있고, 신규 업체들에게 기술 진입 장벽은 매우 높아서 현재 메모리 반도체 업체들의 승

자독식 향유는 당분간 지속될 전망이다.

그러나 영원한 것은 있을 수 없다. 공정 기술이 매우 어려워지면서 기술 진화 속도는 둔화될

전망이고 산업이 매력적인 만큼 신규 진입 업체들은 기회를 노릴 것이다. 현재 독과점 구도를

누리고 있는 기존 업체들은 공정 기술이 정체될 경우 신규 업체들의 진입을 수비해야 한다. 원

가 개선 속도가 둔화될수록 업체들간 경쟁력 격차는 축소될 것이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

17 Research Center

현재 독과점 구도의 패러다임이 깨지는데 시간이 오래 걸리겠지만, 기존 메모리 반도체 업체들

은 중장기 전략을 고민하고 실행해야 할 초입으로 보인다. 향후 메모리 반도체 공정 기술의 방

향이 기존 원가 개선에서 차별화 부각으로 변화할 수 있다. 비메모리처럼 메모리도 세트업체에

게 선택 받은 생산 업체만 가동할 수 있는 시장이 올 수 있다. 원가 우위의 기존 패러다임에서

헤게모니를 지키면서 메모리 시장의 새로운 부가가치를 창출해 나가는 것이 기존 메모리 반도

체 업체들이 고민해야 할 전략 방향성이다. 특히 프리미엄 시장인 서버용 메모리 반도체 시장

이 확대될 것이 불 보듯 뻔한 상황에서 차별화 요소가 더욱 부각될 것으로 보이기 때문이다.

[도표 31] 본원적 전략 모델

자료: 동아비즈, 교보증권 리서치센터

비메모리 시장 경쟁 심화 가능성

비메모리 산업도 중대한 과도기이다. 전세계 반도체 소비의 약 60%를 차지하는 중국 시장의

변화 가능성 때문이다. 결국 시장의 선택을 받아야 하는 비메모리 생산업체들 입장에서는 성능

을 결정하는 기술력도 중요하지만 고객과의 관계도 매우 중요한 경쟁력 중 하나이다. 비메모리

설계 영역의 양강 업체인 인텔과 퀄컴의 동향만 보더라도 중국 시장의 거대한 영향력이 느껴

진다. 인텔이 중국 스프레드트럼에 투자해 AP를 공동 개발하고 Tsinghua Unigroup 지분을

취득한 것과 퀄컴이 SMIC 및 화웨이와 합작 법인을 통해 협업을 강화하고 있는 것은 중국 시

장의 위력 때문일 것이다. 그런데 중국이 최근 자체적으로 반도체 산업을 육성시키고자 노력하

고 있다. 비메모리 반도체는 다품종/소량 생산 체제여서 중국은 저가 시장 중심으로 성과를 빠

르게 낼 수 있다. 특히 CPU 시장의 변화는 중국 등 후발 업체들에게 큰 기회가 될 수 있다.

과거 PC 주도의 IT 환경에서 CPU 시장은 설계와 공정이 독보적인 인텔의 독주 하에 후발 업

체들의 진입이 불가능해 보였다. 그러나 모바일 기기로의 수요 이전으로 AP 시장이 확대되면

서 ARM의 설계 제공으로 비메모리 설계 영역의 진입 장벽이 낮아졌다. 이에 비메모리 팹리스

및 파운드리 업체들의 비약적 발전이 진행 중이며, 향후 모바일 기기의 시장 확대가 진행될 수

록 후발 업체들에게 큰 기회가 제공될 것으로 기대된다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

18 Research Center

[도표 32] 중국 반도체 시장/생산/자급률 추이 및 전망 [도표 33] 인텔과 ARM의 양강 구도인 반도체 설계

자료: IC insights, 교보증권 리서치센터 자료: 교보증권 리서치센터

메모리와 비메모리 모두 원가, 차별화 요소 동시 부각될 전망

향후 메모리와 비메모리 산업의 성격은 기본적으로 현재의 특성을 가지고 있겠지만, 지금과는

다소 다른 모습을 보일 것이다. 반도체 업계 선두권 업체들은 후발 업체들의 추격을 따돌리기

위해 메모리와 비메모리 모두 원가와 차별화 요소를 동시에 부각시킬 가능성 높으며, 이에 대

한 기술 방향성을 먼저 확보하는 업체가 시장을 주도할 것으로 보인다. 이 때 비메모리와 메모

리를 모두 한 업체가 제작하여 세트의 성능을 부각시키는 노력이 진행될 가능성 높다.

3-2. 차별화 부각 요소 – 후공정, 원칩화, 차세대반도체

무어의 법칙 한계로 전공정에서 발생하는 업체들간 기술 격차가 중장기적으로 축소될 가능성

높으며, 대형 반도체 업체들은 중장기적으로 차별화 요소를 부각시킬 수 있는 후공정 기술, 원

칩 솔루션, 차세대 메모리 등을 전략적 기술 방향성으로 채택할 것으로 보인다.

3-2-1. 후공정 기술 진화

과거 반도체 수익은 대부분 설계와 전공정에 집중

반도체 제조에서 부가가치는 대부분 설계와 전공정 영역에서 발생하기 때문에, 설계 업체와 전

공정 업체들이 반도체 산업에서 대부분의 수익을 발생시키고 있다. 반면, 후공정 업체들은 상

대적으로 수익 구조가 취약하다. 설계/전공정/후공정의 분업화가 체계적으로 되어 있는 대만

비메모리 파운드리 시장에서 그나마 후공정 업체들이 상대적으로 안정적 실적을 내고 있다.

0

5

10

15

20

0

50

100

150

200

06 07 08 09 10 11 12 13 14 18E

(%)(십억불)

중국 반도체 시장 (좌)

중국 반도체 생산 (좌)

중국 반도체 자급률 (우)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

19 Research Center

반도체에서 후공정 업체들의 수익성이 낮은 이유는 전공정에 비해 부가 가치가 현저히 낮기

때문이다. 그래서 전공정 업체들은 후공정까지 전량 대응하기 보다는 일부 또는 전량 외주 처

리하고 있다. 그러나 향후 반도체 업체들은 후공정에서도 큰 폭의 부가가치 창출이 가능할 전

망이다. InFO(Integrated Fan Out), TSV(Through Silicon Via) 등이 향후 후공정을 주도할

중요한 기술들이다. 반도체 업체들은 이들 후공정 기술을 통해 메모리/비메모리 단품, 메모리+

비메모리 등 많은 적층 반도체 구조를 고성능, 저전력으로 구현할 수 있으며, 세트 업체들에게

경박단소화의 기회를 제공할 수 있다.

후공정 기술의 궁극적 방향은 TSV

후공정 기술은 궁극적으로 TSV(Through Silicon Via) 기술로 진화할 것으로 보인다. TSV는

반도체 웨이퍼의 기본 재질인 실리콘을 관통하는 구멍을 형성시켜서 여러 웨이퍼들을 연결시

키는 기술이다. TSV는 기존 패키지 방식인 와이어 본딩이나 Flip Chip 기술 대비 훨씬 빠르게

전기 신호를 전달하기 때문에, 전기적 특성 향상에 효과적이다. TSV는 모바일 시대에 매우 적

합한 기술이다. 스마트폰 등 모바일기기에 요구되는 특성은 경박단소화다. 여러 반도체들을 와

이어 본딩이나 배선으로 연결하는 것은 기본적으로 많은 면적이 할당되어야 하나, TSV로 직

접 연결할 경우 초소형 칩 제작이 가능하다. 스마트폰에는 여러 IC를 하나의 패키지로 집적하

는 SiP(System in Package)가 수십여 개 사용되고 있는데, 기존 방식은 면적을 줄이는데 한

계가 있기 때문에 향후 TSV가 적용된 SiP로 대체될 전망이다.

[도표 34] 메모리 단품 Stack용 TSV [도표 35] CIS, Logic용 TSV

자료: 삼성전자, 교보증권 리서치센터 자료: 삼성전자, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

20 Research Center

TSV 기술로 고성능, 고용량, 저전력 구현 가능

TSV는 Wide I/O 기술에 적용되는 것은 물론, 여러 종류의 반도체 적층 방식이 가능하다.

DRAM 또는 NAND 등 단품 메모리끼리, SoC와 메모리끼리 등 많은 조합이 가능하다. TSV

를 통해 반도체의 고성능, 고용량, 저전력화를 구현할 수 있다.

[도표 36] Wide I/O DRAM을 TSV로 구현할 경우, 소형화/저전력 가능

자료: 삼성전자, 교보증권 리서치센터

단, TSV 본격 양산까지는 성숙된 기술 확보 필요

단, TSV가 후공정의 주력으로 자리잡기 위해서는 신규 공정 제품 적용에 대한 신뢰성 검증이

필요하다. 전공정 진행이 완료되면 웨이퍼를 얇게 가공한 후에 TSV 공정이 진행된다. 이때,

웨이퍼 후면에 입출력 패드를 만드는 팹 공정을 진행해야 하는데, 얇은 웨이퍼 상태에서 진행

되기 때문에 기계적 특성이 취약해질 수 밖에 없고 제품 신뢰성에 부정적 영향을 미칠 수 있다.

또한, 전공정에서 완성된 반도체 칩의 Transistor 특성이 후공정인 TSV 공정을 통해 변하는

현상이 발생할 수 있다. Transistor 특성이 반도체 칩의 핵심 스펙을 결정한다는 점을 감안하

면, TSV 공정 개발에는 전공정과 후공정의 유기적인 협력이 필요하다.

TSV의 빠른 도입을 위해서는 후공정 수율 확보도 매우 중요하다. 만약 4개의 반도체를 연결

하는 TSV 공정을 진행할 때 하나의 반도체에서만 불량이 나더라도, 패키지 입장에서는 4개의

반도체가 모두 불량이 나는 것이다. 따라서 완성도 높은 수율을 확인하는 것이 TSV 공정 확

대의 선결 조건이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

21 Research Center

[도표 37] TSV 기술 개발을 위한 주요 Challenges

자료: Yole Developpment, 교보증권 리서치센터

TSV 도입 전 후공정 기술을 장악할 수 있는 InFO

올해 출시된 아이폰7의 AP A10 칩을 TSMC가 전량 수주한 것으로 파악되는데, TSMC의

A10은 InFO(Integrated Fan Out) 기술이 전면 탑재되었다. InFO 기술은 TSV와 같은 3D

Package로 가기 위한 전 단계 기술로 해석된다. TSV 대비 낮은 원가로 구현이 가능하며서도,

모바일 기기에서 좋은 성능 및 얇은 폼팩터 구현이 가능하다.

Fan-Out은 기존 Fan-In 약점을 보완

기존의 WLCSP(Wafer level Chip Scale Package)의 경우 Bump array가 반도체 표면에 형

성되어 있으나, 반도체 공정 미세화로 Bump 사이즈 축소로 interposer의 개입 없이는 PCB

보드에 직접 연결할 수 없다. WLCSP를 Fan-In으로 표현하는 반면, Fan-Out은 Bump들이

반도체 칩 바깥쪽으로 배치된다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

22 Research Center

[도표 38] Fan-In과 Fan-Out 비교

자료: GigaRadio, 교보증권 리서치센터

[도표 39] Fan-In과 Fan-Out 비교

자료: nepes, 교보증권 리서치센터

Fan-Out은 반도체 특성 강화에 유리

Fan-Out 기술은 반도체 면적보다 넓게 Bump array 구성이 가능하여 배선 밀도가 낮은

PCB 보드의 Bump Pad 사이즈 및 피치 대응이 가능하다. 기존의 Wire bonding 및

Interposer를 사용하지 않기 때문에 전체 package 두께를 얇게 할 수 있고 비용절감도 가능

하다. 기존 Package 기술들보다 우수한 전기적, 열적 성능을 지니며, 유연한 공정조합이 가능

하다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

23 Research Center

[도표 40] 주요 차세대 후공정 기술들 비교

자료: 교보증권 리서치센터

3-2-2. 원칩 솔루션 확대

One Chip Solution은 고객의 선택을 유도할 수 있는 강력한 기술

향후 반도체 업체들의 차별화 요소로 부각될 내용으로 One Chip Solution이 있다. 앞서 서술

한 후공정 기술 변화 등에 속도를 맞추어 반도체 칩은 통합화가 이루어질 전망이다. 이미 퀄컴

등 선두권 업체들은 AP와 통신칩을 한 칩으로 제조하여 업체들에게 제공하고 있으며, 삼성전

자는 스마트폰에 탑재되는 DRAM, NAND, 컨트롤러를 하나로 묶어 AP 위에 적층하는

ePoP(embedded Package on Package)를 양산하고 있다. 여러 반도체 칩을 통합할 경우 실

장 면적을 줄일 수 있어 세트업체에게 슬림한 디자인 구현 기회를 제공하며, 칩 두께 감소분만

큼 대용량 배터리를 탑재할 수 있게 한다. 또한 성능적으로도 속도를 빠르게 하고 전력 소모를

줄일 수 있는 장점까지 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

24 Research Center

[도표 41] 원칩 기술이 적용된 퀄컴의 스냅드래곤 [도표 42] 삼성전자의 ePoP 기술

자료: Qualcomm, 교보증권 리서치센터 자료: 삼성전자, 교보증권 리서치센터

비메모리 AP/통신칩 통합화 진행 중

궁극적으로는 AP(또는 CPU), DRAM, NAND, 기타 반도체 등 세트에 필요한 모든 반도체를

설계부터 전공정, 후공정까지 모두 하나의 웨이퍼에서 제조한다면, 최적화된 성능 및 가장 얇

은 두께를 구현할 수 있을 것이다. 그러나 각 반도체 공정 특성과 요구 사양이 다르기 때문에

전공정부터 같이 제조하는 것은 현 기술 수준에서는 불가능에 가까우며 InFO, TSV 등의 후공

정 신기술의 도움을 통해 구현 가능할 전망이다. 이때 차세대 메모리는 Buffer 메모리 등의 역

할을 수행하며 통합칩 성능 향상에 기여할 전망이다.

반도체 후공정 미래를 볼 수 있는 애플워치

애플의 스마트워치인 애플워치에서 구현된 S1은 다양한 반도체 칩들을 하나로 묶어

SiP(System in Package) 형태로 제작되었다. SiP 형태로 제조된 이유는 면적을 최소화하기

위해서이며, 애플 워치의 슬림한 디자인에 기여했다. 칩웍스(chipworks)에 따르면, S1의 면적

은 가로 26mm, 세로 28mm에 불과하며 삼성전자 28nm로 제작된 웨어러블 전용 AP인

APL0778의 칩 면적은 가로 5.2mm, 세로 6.2mm이다. DRAM은 PoP(Package on

Package) 형태로 AP 위에 형성되어 있다. 이 외에도 모션센서, 터치 컨트롤러, 무선통신 칩,

무선랜 저잡음증폭기, 스위치, 전략증폭기, NFC 보안 컨트롤러 및 인터페이스, 오디오 앰프,

코덱, 무선충전 수신 컨트롤러 등이 S1 패키지에 모두 내장되어 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

25 Research Center

[도표 43] 애플워치에 구현된 S1

자료: 애플, 교보증권 리서치센터

원칩화는 종합 반도체 업체에게 유리

애플워치에 구현된 바와 같이 향후 여러 반도체들이 하나의 통합칩으로 형성될 가능성 높다.

통합칩 솔루션은 반도체 제품 차별화에 많은 기여를 할 것으로 보이며, 향후 메모리 및 비메모

리 업체들의 전략적 의사 결정에 많은 영향을 미칠 것으로 예상된다. 후공정 기술과 차세대 메

모리 등이 통합칩 솔루션에 많은 기여를 할 것으로 예상되기 때문에, 각 반도체 업체들의 관련

기술 확보 노력이 확대될 전망이다. 이때 비메모리 및 메모리 기술을 동시에 보유한 종합 반도

체 업체가 통합칩 구현에 유리할 수 밖에 없는데, 고객사들의 요구 사양 및 납기 대응력 측면

에서 훨씬 빠르기 때문이다. 세트 업체가 패키지 기술을 관리하면 반도체 패키지 후 불량 발생

시 세트업체가 불량을 파악하고 수리해야 하는 반면, 종합 반도체 업체에게 반도체 패키지를

통째로 맡길 경우 불량 발생시에 세트 업체가 아닌 종합 반도체 업체가 대응할 수 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

26 Research Center

4. SCM의 시장 진입

차세대 메모리 시장 진입 및 확대 기대

중장기적으로 메모리 반도체 시장에서 차별화 요소를 가장 많이 부각시킬 기술은 차세대 메모

리 반도체 기술로 평가된다. 차세대 메모리는 개념상 매우 단순하다. DRAM 구조를 차용하되,

DRAM의 Capacitor 소재를 변경하여 비휘발성 특징을 살리는 것이다. DRAM과 동일하게 설

계하면 Random Access가 가능하여 동작이 빠르고, 유전체에 전하를 저장하는 방식이 아닌

다른 방식(자기장을 이용하면 MRAM, 상변이를 이용하면 PCRAM, Ferroelectric 특성을 이

용하면 FRAM)으로 구동하기 때문에 기억이 휘발되지 않는다. 즉, 차세대 메모리는 DRAM의

장점(빠른 동작)과 NAND의 장점(비휘발성)을 동시에 구현할 수 있다. DRAM의 장점인

Random Access와 NAND의 장점인 비휘발성 특징을 동시에 지원하는 차세대 메모리는

SCM(Storage Class Memory) 역할을 하면서 반도체 시장에 침투할 것으로 예상된다.

차세대 메모리는 미래 메모리

SCM은 NAND를 대체하는 스토리지 타입과 DRAM을 대체하는 메모리 타입으로 접근된다.

DRAM과 NAND는 장점이 확실하여 시장을 장악하고 있으나, 반대로 명확한 단점도 존재한

다. 이에 SCM은 DRAM과 NAND가 양분하고 있는 메모리 반도체 시장에 새롭게 침투될 것

으로 기대된다.

[도표 44] SCM의 포지션 – 스토리지 타입과 메모리 타입

자료: IBM, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

27 Research Center

4-1. SCM의 포지셔닝

4-1-1. 인텔, 최근 3D X-point 소개

인텔의 3D X-Point에 대한 관심 고조

인텔이 3D XPoint라는 신기술을 제시하면서 최근 반도체 시장에서 차세대 메모리에 대한 관

심이 부각되고 있다. 인텔은 최근 3D X-Point 시험생산을 시작했으며, 내년 본격 양산을 계

획하고 있는 것으로 파악된다. 메모리 반도체 시장에는 DRAM, NAND, SRAM, NOR 등 여러

반도체 기술이 있지만 사실상 DRAM과 NAND가 시장을 양분하고 있다. DRAM과 NAND가

각각 휘발성, 느린 Speed 등의 단점을 보유하고 있음에도 각각 빠른 Speed, 비휘발성의 장점

이 부각되어 메인 메모리와 영구기억 메모리 시장을 장악하고 있다. 아직까지는 메인 메모리

시장에서 휘발성, 영구기억 메모리 시장에서 느린 Speed의 단점이 딱히 문제가 되지 않는다.

그런데 인텔이 3D X-Point라는 신규 메모리를 소개하고 양산 계획까지 발표하면서, 시장은

깊은 관심을 보이기 시작했다. 반도체 시장을 주도하고 있는 인텔이 기존 메모리와 다른 메모

리를 준비하는 이유는 분명히 있을 것이기 때문이다. 인텔은 3D X-Point가 DRAM 대비 집

적도가 10배 높고, NAND보다는 1,000배 빠르며, NAND와 같은 비휘발성 특성을 가진다고

밝혔다.

[도표 45] 인텔의 3D X-Point 기술

자료: Intel, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

28 Research Center

이에 대항하는 Gen Z 연합

PC 및 서버용 비메모리 시장을 장악하고 있는 반도체 업계 거물 인텔이 메모리 반도체 시장까

지 진입한다는 뉴스는 기존 메모리 시장에서 사업을 영위하는 업체들에게 위협 요소임에 분명

하다. 인텔의 3D X-Point에 대항하기 위해 최근 인텔을 제외한 주요 반도체 관련 업체들이

대거 참여한 Gen-Z 컨서시엄이 출범했다. Gen-Z 컨서시엄에는 삼성전자, SK하이닉스, 마이

크론, 웨스턴디지털, 씨게이트 등의 주요 메모리/스토리지 업체들과 IBM, HPE, 델, 레노버 등

주요 서버 업체들이 참여했다. Gen-Z는 공개 표준을 만들어 새로운 형식의 메모리가 프로세

서나 하드웨어에 일관성 있게 소통할 수 있도록 하는 것을 목표로 하고 있다. Gen-Z는 메모

리, 스토리지, 프로세서가 별도 분리되어 있는 미래 데이터센터를 지원할 것으로 기대된다. 현

재 서버는 스토리지, 메모리, 프로세서가 한 장비로 구성되어 생기는 제약이 발생할 수 있다.

현재 인텔의 서버시장 CPU M/S가 90%에 이르고 있다는 점을 감안할 때 인텔의 시장 장악력

이 Gen-Z의 시장 형성을 막을 수도 있겠지만, 메모리, 스토리지, 서버 업체들 입장에서는 인

텔의 시장 장악력을 훼손시키기 위한 중요한 기술로 활용할 수 있다.

[도표 46] 최근 Gen-Z 연합 출범

자료: Gen-Z Consortium 2016, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

29 Research Center

[도표 47] Gen-Z 컨서시엄 참여 업체

자료: Gen-Z Consortium 2016, 교보증권 리서치센터

4-1-2. 인텔의 3D X-Point 포지셔닝 분석

인텔의 3D X-Point 기술 이해

인텔의 3D X-Point 전략에 대해 이해하려면, 3D X-Point의 개념 및 타겟 시장을 파악해야

할 것이다. 인텔은 이 기술에 대해 시장에 구체적인 데이터를 제공하고 있지 않지만, 전자에

기반하지 않으며 저항을 사용하고 bit 주소 할당이 가능하다고 언급한 바 있다. 저항을 이용하

기 때문에 시장에서는 PCRAM(Phase Change RAM)이나 ReRAM(Resistive RAM)의 종류

로 추측하고 있으며, 업계 전문가는 3D X-Point를 PCRAM이나 ReRAM의 리브랜딩이라고

평가하고 있다. 참고로 PCRAM은 결정질 및 비정질로 Capacitor를 변화시켜 그 저항 차이를

0과 1로 구분하여 동작시키는 차세대 메모리이다. 그리고 ReRAM은 전극 사이에 절연막을

삽입하여 전기적 신호에 따라 저항이 크게 변하는 원리로 0과 1을 구분 동작하는 메모리이다.

현재 학계 및 업계에서 PCRAM의 Capacitor 물질로 가장 적합하다고 평가하는 것이

GST(Ge2Sb2Te5)인데, 3D X-Point에서는 GST가 아닌 새로운 물질이 Selector와 Storage

영역에 사용한 것으로 추정된다. 인텔의 3D X-Point 기술은 Word Line과 Bit Line의 교차점

에 메모리 소자를 형성시키는 구조로, 메모리 소자의 2차원 면적이 축소되어 공정 미세화를

추가적으로 진행시킬 수 있을 것으로 기대된다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

30 Research Center

[도표 48] X-Point 구조와 Vertical 구조 비교

자료: 삼성전자, IEEE, 교보증권 리서치센터

3D X-Point 등 SCM의 포지션 위치

인텔은 3D X-Point는 DRAM보다 집적도가 좋고, NAND보다 빠르다고 밝혔지만, 사실상

DRAM과 NAND의 중간 포지션으로 보면 된다. 다시 이야기하면, 집적도가 DRAM보다는 우

위이지만 NAND보다는 열위이고, Speed가 NAND보다는 우위이지만 DRAM보다 열위이기

때문이다. 이는 3D X-Point 이외의 대부분의 차세대 메모리가 가지는 특성이다.

[도표 49] 메모리 기술들의 원가 및 성능 포지션 비교

자료: Western Digital, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

31 Research Center

4-2. SCM의 종류

4-2-1. 최근 SCM이 부각 받는 이유

반도체 패러다임 변화: 연산 중심에서 데이터 중심으로 이동

최근 SCM이 반도체 시장에서 관심이 고조되는 이유는 IT 패러다임 흐름 변화에 기인한다. 전

세계 IT 시장에서 데이터 트래픽이 방대하게 발생하고 있고 향후 서버 또는 데이터센터가 기

하급수적으로 증가할 것으로 예상되는 상황에서 CPU와 메모리리/스토리지 속도 관련 성능 격

차는 더욱 확대되고 있다. 이에 “Wall of Memory(메모리의 벽)”에 대한 우려가 제기되고 있

는 상황이다. CPU와 메모리 반도체의 성능 격차 축소를 위해 대두되고 있는 반도체가 SCM이

다. 비휘발성 저장 능력을 보유하면서도 빠른 Speed 구현이 가능하다면, 비싼 가격에도 충분

히 시장 침투가 가능하기 때문이다. 인텔의 3D X-Point는 이에 대한 접근으로 해석하는 것이

적절해 보인다. 업계에서는 단기적으로 인텔의 3D X-Point에 의한 시장 크기를 2018년 10

억 달러, 2020년 20억 달러로 예상하고 있다.

반도체 시장이 과거 컴퓨팅 중심의 패러다임에서 데이터 중심의 패러다임으로 전환될 경우, 반

도체 기술의 중심이 과거 CPU와 DRAM 성능 개선이었다면, 향후에는 스토리지와 I/O의 성능

개선이 될 것이다.

[도표 50] 반도체 시장 패러다임 변화 – 데이터 트래픽 급증 흐름

자료: IBM, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

32 Research Center

스토리지 속도 개선에 대한 니즈 충분

반도체 시장의 환경이 빠르게 변화하고 있고 그것을 대부분의 시장 참여자들이 인정하고 있음

에도, 스토리지의 접근 속도는 이론적으로 느릴 수 밖에 없다. 게다가 향후 더 방대한 데이터

를 사용해야 하는 IT 환경으로 변화하고 있지만, 이론적으로 NAND는 Endurance가 취약하

다. 따라서 SCM은 빠른 Speed와 비휘발성을 동시에 구현할 수 있는 특징으로 향후 시장에서

부각될 수 밖에 없다. 최근 시장 조사 결과를 보더라도 Big Data 환경에서 빠르고 방대한 메모

리 Access가 필요하다는 것은 명백히 확인된다.

[도표 51] 빅데이타 시장 확대로 데이터 접근 많아짐 – 향후 이러한 추세 더욱 확대될 전망

자료: Western Digital, 교보증권 리서치센터

SCM은 빠른 스토리지 역할 가능

중장기적으로 IT 시장이 Divergence화가 진행되고 더 크게 4차 산업혁명의 흐름을 맞이할

경우 방대한 데이터에 대한 빠른 Access는 매우 중요해질 것으로 보이며, 현재 스토리지 시장

을 장악할 것으로 예상되는 NAND 외에도 프리미엄 시장 타겟으로 SCM의 활용이 요구될 가

능성 높다. 아래 그림에서 Flash SSD가 하는 역할을 중장기적으로 SCM이 일부 프리미엄 시

장부터 대체해 나갈 수 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

33 Research Center

[도표 52] 메모리와 스토리지 사이에 병목 현상 발생

자료: IBM, 교보증권 리서치센터

4-2-2. SCM의 종류

인텔의 3D X-Point 외에도 다양한 SCM이 연구되고 있다. 삼성전자와 SK하이닉스 등 기존

메모리 반도체 시장 주도 업체들은 SCM으로 MRAM, PRAM, ReRAM 등을 준비 중인 것으

로 파악된다. 향후 어떤 SCM이 시장에 더 큰 파장을 미칠지는 지켜봐야겠지만, 인텔의 3D

X-Point만이 SCM의 유일한 대상이 아닌 것은 확실하다.

[도표 53] DRAM / NAND / 차세대 메모리 특성 비교

자료: 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

34 Research Center

[도표 54] 차세대 메모리 – DRAM 구조를 차용. Capacitor 물질 변경으로 비휘발성 구현

자료: 교보증권 리서치센터

[도표 55] 차세대 메모리 비교 구분 MRAM FRAM PRAM

동작원리 터널 접합 전극의 자화 방향으로 데이터 저장

강유전체 분극 특성을 이용해 데이터 저장

특정 물질의 상 변화를 판단해 데이터 저장

장점 비휘발성, 고속, 반복사용, 내구성 비휘발성, 저전력, 상대적 저비용 비휘발성, 고속, 고집적화 용이

단점 집적화 곤란, 상대적 고비용 고집적화 어려움, 내구성 문제 쓰기시간이 김

자료: 교보증권 리서치센터

MRAM 설명

MRAM(Magnetic RAM)은 플로피디스크나 하드 디스크와 같이 자기에 의해 데이터를 기억

하는 메모리로 스핀 의존 전기 전도에 의해 생기는 강자성 터널 자기저항 효과(Tunnel

Magneto Resistance: TMR) 소자를 이용한 것이다. DRAM과 달리 전원 공급이 끊겨도 정보

를 잃지 않는 비휘발성이며 DRAM보다 저장 용량을 10배 늘릴 수 있고, 소비전력은 3분의 2

가까이 줄일 수 있다. 이런 특징을 살려 모바일 기기에 사용할 경우 사용시간을 지금보다 늘릴

수 있다.

MRAM은 상하 2개의 강자성층의 곁에 2개의 전선을 배합하고, 상부의 전선에는 안쪽에서 앞

으로, 하부의 전선에는 앞에서 안쪽으로 전류를 흘려 보냈을 경우, 양쪽의 강자성층과 함께 그

림의 오른쪽의 방향에서 자계가 발생한다. (암페어의 오른나사의 법칙), 한편 2개의 전선을 동

시에 앞에서 안쪽으로 전류를 흘려 보냈을 경우에는 상부의 강자성층에는 좌향, 하부의 강자성

층에는 우향의 자계가 발생한다. 2개의 강자성층의 자계가 같은 방향의 경우는 저항치가 작고,

강자성층의 자계가 반대 방향의 경우는 저항치가 커진다. MRAM은 이 저항치의 변화를 기억

소자로 이용한 것으로, 저항치가 클 경우는 “1” 작을 경우는 “0”이라고 정의한다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

35 Research Center

또한 MRAM 기술에서 더욱 진보한 형태로 STT-MRAM이 있다. 이는 capacitor 대신 자기

접합터널(MTJ)이라는 자성물질 구조를 이용한다. 이는 기존 메모리에 비해 매우 간단한 구조

로 쓰기와 읽기가 가능하기 때문에 테라비트급에 달하는 대용량 집적도의 구현이 가능하다.

[도표 56] TMR 소자의 기본 원리 [도표 57] TMR 소자의 기본 원리

자료: 한국 전자 통신 연구원, 교보증권 리서치센터 자료: 한국, 전자 통신 연구원, 교보증권 리서치센터

PCRAM 설명

PCRAM(Phase Change RAM)은 비휘발성 메모리로, 물질에 전류를 가하면 내부 구조가 변

하는 원리를 이용한 반도체이다. 비휘발성 및 고속, 고집적화에 용이하다는 장점을 갖고 있으

나, 쓰기시간이 길다는 단점을 갖고 있다. 기록 층 물질의 결정상(crystalline state)과 비정질

상(amorphoues state)의 가역적인 변화를 통하여 0과 1을 저장하며 대표적인 기록 물질로는

Ge-Sb-Te 등의 칼코겐 화합물이 주로 사용된다. 결정상과 비정질상은 광학적 반사도(광디

스크) 및 전기 저항(전기적 메모리)에서 차이가 나기 때문에 이를 이용하여 데이터를 구분한

다. 각 상태는 특정 레벨의 문턱 에너지가 주어지기 전에는 변화하지 않기 때문에 DRAM 등

과는 달리 기록 후 정보가 지워지지 않는 비휘발성 메모리이다.

비결정질 상태와 결정 상태의 변화는 전압을 가하는 것으로 행해진다. 비결정질 상태와 결정

상태의 상변화는 인가하는 펄스 전압의 시간 폭으로 제어할 수 있다. 이 특징에 의해 PRAM

은 WL과 BL의 2개의 라인만으로 쓰기와 읽기를 행할 수 있고, MRAM 이상으로 실장 밀도를

높여 집적도를 향상시킬 수 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

36 Research Center

[도표 58] PRAM의 메모리 소자 [도표 59] PRAM의 셀

자료: 한국 전자 통신 연구원, 교보증권 리서치센터 자료: 한국 전자 통신 연구원, 교보증권 리서치센터

FRAM 설명

FRAM(Ferroelectric RAM)은 DRAM 셀에서 전하를 보유하고 있는 유전체 Capacitor를 강

유전체로 변경한 것이다. 장점으로는 비휘발성, 고속 저전력 동작, 상대적 저비용을 들 수 있으

나 고집적화가 어렵고 반복사용 및 내구성이 취약하다는 단점을 갖고 있다.

FRAM의 셀을 구현하는 방법은 3가지 타입이 있다. 2T2C 셀은 한 방향의 Capacitor에 “1”이라는 데이터를 기억시킬 경우, 이미 한 방향의 Capacitor에는 반대의 “0”을 기억시킨다. 읽

을 경우에는 BL과 /BL의 2개의 전압차이를 sense amplifier에서 판단하고, 전압차이가 플러

스이면 “1”, 마이너스이면 “0”으로 출력한다. 1T1C 셀은 집적도를 올리기 위해서 각 1개씩의

트랜지스터와 Capacitor에서 구성하는 것으로, 읽어낼 때에는 BL에 “1”과 “0”의 중간 수준에

맞는 레퍼런스 전압을 주고, 그 레퍼런스 전압을 문턱값으로 하여 “1”과 “0”을 판단한다. 1Tr

셀은 1T1C에서 Capacitor를 제거하고, 집적도를 향상시키는 것이다. Transistor의 게이트 절

연체막을 강유전체 막으로 바꾸는 것으로, Transistor에 Capacitor의 역할까지 갖게 한다.

[도표 60] FeRAM의 셀

자료: 한국 전자 통신 연구원, 교보증권 리서치센터

참고자료 - 한국 전자 통신 연구원 『유비쿼터스용 유니버설 메모리 기술』, 『한국 과학 기

술 정보 연구원『비휘발성 차세대 메모리소자』

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

37 Research Center

4-3. SCM의 시장 침투 전망

4-3-1. SCM이 시장에 침투할 수 있는 조건

신규 수요를 창출하거나 기존 메모리를 대체하거나

현재 메모리 반도체 시장은 DRAM과 NAND가 양분하고 있다. DRAM이 빠른 동장을 특징으

로 메인 메모리 역할을 하고 있으며, NAND는 비휘발성을 특징으로 영구기억장치 역할을 하

고 있다. 차세대 메모리가 시장에 침투할 방법은 기존 메모리인 DRAM 또는 NAND를 대체하

거나, 기존 메모리가 제공하지 못하는 새로운 부가가치를 창출하면서 신규 수요를 자극하는 것

이다.

[도표 61] SCM의 시장 침투 방법들

자료: 단국대학교, 교보증권 리서치센터

인텔의 3D XPoint는 신규 수요 창출을 위한 시도

인텔이 공개한 차세대 메모리인 3D X-Point는 기존 메모리가 제공하지 못하는 새로운 가치

를 창출하는데 의미가 있어 보인다. 3D X-Point 기술은 NAND와 달리 Transistor를 사용하

지 않고 독자적인 Cross Point라는 새로운 구조를 사용하여 Word Line과 Bit Line의 교차점

에 메모리 Cell을 배치하는 방식으로 구현되었다. 결과적으로 NAND 대비 최대 1,000배의 고

속화, DRAM 대비 10배의 집적도가 가능하다고 설명된다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

38 Research Center

[도표 62] 3D XPoint의 포지셔닝 – 초기에는 기존 메모리 대체가 아닌 신규 수요 창출

자료: Micron, 교보증권 리서치센터

SCM은 이론적으로 DRAM 및 NAND의 약점을 보완 가능 – DRAM 및 NAND 대체 가능성

현재 DRAM 공정에서 가장 큰 문제는 공정이 미세화될수록 Capacitor의 Aspect Ratio가 기

하급수적으로 증가하고 있다는 점이다. DRAM의 공정 개발 문제가 Capacitor라서 DRAM에

서 Capacitor만 다른 소재로 변경하면 되는 차세대 메모리를 도입하면 DRAM 고유의

Capacitor 공정 이슈가 소멸된다. 게다가 차세대 메모리는 비휘발성인 NAND의 장점까지 보

유하고 있어 새로운 부가가치를 제공할 수 있다. 또한 앞서 설명한 바와 같이 빠른 Access가

필요한 프리미엄 스토리지 시장에서 비싼 가격에도 NAND를 SCM이 대체할 수 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

39 Research Center

[도표 63] DRAM 공정 난이도는 Capacitor A/R 이슈로 기하급수적으로 증가. 차세대 메모리는 이 문제 없음

자료: SK하이닉스, 교보증권 리서치센터

단, 현재 차세대 메모리의 원가는 아직 많이 높은 상황

단, 차세대 메모리가 기존 메모리인 DRAM 또는 NAND를 대체하기 위해서는 원가 개선이 가

장 중요하다. Capacitor 소재를 변경하는 것이 개념상으로는 매우 간단해 보이나, 이를 양산에

적용하는 것은 생각보다 매우 어렵다. 차세대 메모리가 기존 시장(DRAM이나 NAND)을 대

체하기 위해서는 원가가 기존 메모리 반도체와 유사한 수준에서 비교 가능해야 하나, 현재 공

정 수준에서 추정되는 원가는 너무 높다. 메모리 반도체의 원가는 미세선폭에서 결정된다.

DRAM은 10nm 후반, NAND는 10nm 중반대에서 최신 선폭이 형성되어 있다. 반면 차세대

메모리는 이론적으로는 DRAM보다 우위, NAND보다 열위의 원가를 구현할 수 있으나, 규모

의 경제 또는 수율을 고려했을 때, 여전히 기존 메모리 원가를 따라잡는데 많은 시간이 소요될

것으로 보인다. 따라서, 차세대 메모리가 기존 DRAM 또는 NAND 시장을 대체하면서 침투하

는 데는 시간이 더 필요해 보인다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

40 Research Center

[도표 64] SCM의 포지션 – DRAM과 NAND의 중간

자료: Western Digital, 교보증권 리서치센터

4-3-2. SCM의 중장기 시장 침투 방향성 전망

단기적으로 신규 수요 창출, 중장기적으로 기존 메모리 일부 대체

SCM은 단기적으로 프리미엄 스토리지 역할을, 중기적으로 DRAM/NAND 확장 또는 일부 대

체 역할을, 장기적으로 메모리 시장을 통합하면서 Universal 메모리 역할을 할 것으로 보인다.

IT 시장 흐름이 빠른 특성의 스토리지를 원하고 있기 때문에, DRAM과 NAND가 그대로 쓰이

면서도 차세대 메모리가 버퍼 역할을 해줄 경우 그 스토리지 시스템은 높은 성능을 시현할 수

있다. 그리고 중장기적으로 DRAM과 NAND와 비교 가능한 수준의 원가를 구현하게 되면,

DRAM과 NAND의 대체 역할까지도 기대할 수 있다.

[도표 65] SCM은 단기적으로 신규수요 창출, 중장기적으로 기존 메모리 일부 대체 예상

자료: Western Digital, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

41 Research Center

4-3-3. SCM의 중장기 시장 침투 속도 전망

SCM의 시장 침투 속도는 매우 느리게 진행될 가능성 농후

그러나 당사는 SCM의 시장 침투 속도는 매우 느릴 것으로 예상한다. SCM이 메모리 반도체

시장에 침투할 수 있는 첫 기회가 엔터프라이즈용 스토리지 시장에서의 버퍼 메모리 역할 또

는 NAND를 대체하는 프리미엄 스토리지 역할이다. 이때, 버퍼 메모리 역할은 새로운 시장을

창출하는 것이기 때문에 시장 반응을 확인해야 하는 시간이 필요할 것이다. 또한, NAND 시장

을 대체하는 역할은 3D NAND가 원가 개선을 매우 빠르게 진행하는 시점이기 때문에 부가가

치만을 부각시키면서 시장에 침투하는 것이 시장 형성에 제약이 있을 것으로 보인다. 그리고

만약 NAND를 대체하는 상황이 전개되더라도, 근본적으로 차세대 메모리가 구조가 단순한

NAND 대비 원가가 크게 열위일 수 밖에 없기 때문에 일부 프리미엄 시장에만 국한될 것이다.

또한 근본적으로 DRAM 대비 Speed에서 열위이기 때문에, DRAM 시장을 대체하는 것은 더

많은 시간이 소요될 것으로 보인다.

4-4. SCM이 메모리 반도체 시장에 미치는 영향

SCM이 시장에 침투할 경우, 기존 메모리 반도체 업체들에게 미치는 영향은?

SCM이 시장에 침투되는 것은 새로운 수요를 창출하거나, 기존 메모리 수요를 대체하는 두 가

지 중 하나일 것이다. 이때 새로운 수요를 창출하면서 진입한다면, 메모리 시장 크기 확대로

기존 메모리 업체들에게 긍정적일 것이다. 그리고 기존 메모리 수요를 대체하면서 진입한다면,

신규 공정 도입에 따른 기존 메모리 시장의 수급 변화와 M/S 변화를 발생시킬 수 있다. 최근

발생하고 있는 3D NAND 기술 침투에 의한 NAND 업체들의 위상 변화처럼 말이다.

4-4-1. 새로운 수요가 창출될 경우, 기존 메모리 업체들에게 긍정적

인텔의 3D X-Point가 성공한다면, 반도체 시장 확대로 연결될 것

향후 인텔의 3D X-Point을 시작으로 SCM은 IT 기기에 점진적으로 침투하면서 반도체 시장

에 긍정적 영향을 미칠 전망이다. 초기 시장에 DRAM과 NAND에 대한 Cannibalization이 없

는 구간에서 버퍼 메모리 역할을 한다는 것은 새로운 시장을 창출시켜 메모리 반도체 시장의

규모를 키우는 것이기 때문이다. 인텔에 이어 삼성전자, SK하이닉스, 도시바 등 주요 메모리

반도체 업체들도 차세대 메모리 연구 개발에 매진하고 있는 것으로 파악된다. 삼성전자, SK하

이닉스 등 국내 반도체 업체들은 3D X-Point가 아닌 MRAM, PCRAM, ReRAM 등 다른 방

식의 차세대 메모리를 개발 중인 것으로 파악된다.

지금은 폭발적으로 성장하고 있는 NAND도 과거에 수요처가 확보되지 못해 개발 포기를 고민

했던 시기가 있다. 2000년대 초반 MP3, 디지털카메라 등 새로운 세트 시장이 형성되면서 휴

대용 영구기억 장치인 NAND의 수요가 폭발적으로 증가하기 시작했다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

42 Research Center

차세대 메모리는 메모리 반도체 산업 성격을 변화시킬 수 있는 기술

기존 메모리 시장에서 기술 경쟁은 대부분 원가 개선으로 귀결되었으며, 원가가 싼 업체가 경

쟁에서 우위를 점할 수 밖에 없는 구조였다. 그러나 향후 공정 미세화 속도 둔화 및 한계 도달

로 메모리 시장의 경쟁 구도가 차별화 요소로 변화할 가능성 높으며, 이 때 차세대 메모리가

큰 역할을 담당할 것으로 보인다.

4-4-2. 기존 시장을 대체할 경우, 수급 및 M/S 변화 예상

DRAM 및 NAND 업체들은 중장기 전략 재설정 필요

SCM이 기존 시장을 대체한다는 것은 DRAM과 NAND가 확보하고 있는 시장을 잠식한다는

것이기 때문에, 기존 메모리 반도체 업체들에게 전략 변화를 발생시킬 것이다. 중장기적으로

DRAM과 NAND의 원가 개선의 한계에 도달하고, SCM이 DRAM과 NAND 수준의 원가를

확보한 상태라면 SCM이 시장을 대체하지 못할 이유가 없을 것이다.

NAND를 대체하려면

DRAM 구조를 차용한 1T1C(1Transistor 1Capacitor), 1T1R(1Transistor 1Resisistor),

1D1R(1Diode 1Transistor)여서 6F2 구조가 한계일 수 있는 MRAM, PCPRAM, ReRAM

등은 구조가 단순한 1T(1Transistor)이면서 4F2 구조인 NAND 대비 근본적으로 집적도 및

원가에서 열위일 수 밖에 없다. 또한 3D X-Point도 NAND보다 복잡한 구조로 설계되어 있

는 것으로 파악되어 NAND 대비 집적도 및 원가에서 열위이다. 따라서, SCM이 NAND 시장

전체를 대체하는 것은 불가능하며, 추가적인 기술 개발로 원가가 확보된다고 가정하더라도 시

장 대체 효과는 프리미엄 스토리지 시장에 국한될 것으로 보인다. 단, 구조적으로 1R로 설계

가 가능한 ReRAM의 경우 이론적으로 NAND 대비 원가 우위에 있을 수 있어, 1R 구조의

ReRAM 기술 개발이 된다면 장기적으로 NAND 공정기술이 한계에 도달했을 때 시장 대체를

이룰 수 있는 가능성은 있다.

[도표 66] SCM은 구조적으로 NAND 대비 원가 열위. ReRAM은 이론적으로 원가 우위 가능

자료: FlashMemorySummit, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

43 Research Center

DRAM을 대체하려면

SCM에서 RAM 구조인 MRAM, PCRAM, ReRAM, FRAM 등은 Random Access가 가능하

여 이론적으로는 DRAM을 대체할 수 있다. 이 중에서 기존 MRAM 대비 진화된 STT-

MRAM이 Spin의 이동이 매우 빠른 특성을 이용하여 DRAM을 대체할 수 있는 속도 구현이

가능하다. 또한 DRAM이 Capacitor를 3D 구조로 만들어야 하기 때문에 발생하는 Aspect

Ratio의 증가 문제에서 자유롭다. 따라서 STT-MRAM을 향후 DRAM이 공정기술 개발의 한

계에 도달할 시기에 DRAM을 대체할 수 있는 SCM으로 기대된다. RAM 기반의 SCM들은

Cell 영역 외에 나머지 공정을 DRAM 공정과 동일하게 사용할 수 있기 때문에, Cell 영역 공

정기술만 확보된다면 기존 DRAM 팹을 이용하여 빠르게 원가를 확보할 수 있다. 그러나 현재

MRAM, PCRAM, ReRAM, FRAM 등에 가장 적합하다고 판단되는 Capacitor, Diode,

Resistor 등 Cell 영역에 특화된 물질들을 Pattering하고 안정적인 성능을 확보하는데 큰 어

려움을 겪고 있는 것으로 파악된다. 그 문제들은 Cell 영역에 특화된 물질을 증착 또는 식각하

는 것에서 파생된 것이라서 얼마나 빨리 공정개발이 진행될지는 미지수로 보인다.

참고로, 인텔이 준비하고 있는 3D X-Point는 인텔이 밝혔듯이 DRAM 대비 속도에서 열위이

고, RAM 구조가 아니기 때문에 이론적으로도 DRAM을 대체할 수 있는 속도 구현이 불가능

할 것으로 보인다.

[도표 67] SCM – 이론적으로는 DRAM 대체 가능. 그러나 특화된 공정 이슈들을 해결하는데 많은 시간 필요

자료: SKHynix, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

44 Research Center

4-5. SCM 시장에서 인텔 vs 삼성전자

4-5-1. 메모리 시장에 침투하려는 인텔

3D X-Point는 기존 메모리 업체들에게 위협 요소

비메모리만 생산하고 있던 인텔이 3D X-Point를 준비한다는 것은 기존 메모리 업체들에게

위기감을 조성시킬 수 있다. PC 및 서버 CPU 시장을 장악하고 있는 인텔이기에, 신규 메모리

반도체를 자사 시스템에 연계할 경우 업계에 많은 파장을 만들 수 있다는 막연한 우려 때문이

다.

그러나 그 파장은 크지 않을 것

그러나 인텔이 제시한 3D X-Point가 기존 차세대 메모리 개념 대비 새로운 것은 없다. 인텔

은 3D X-Point는 DRAM보다 집적도가 좋고, NAND보다 빠르다고 밝혔지만, 사실상 DRAM

과 NAND의 중간 포지션으로 보면 된다. 다시 이야기하면, 집적도가 DRAM보다는 우위이지

만 NAND보다는 열위이고, Speed가 NAND보다는 우위이지만 DRAM보다 열위이기 때문이

다. 이는 3D X-Point 이외의 대부분의 차세대 메모리가 가지는 특성이다. 그리고 앞서 설명

했듯이, 3D X-Point는 구조적으로 NAND 대비 집적도가 낮아 NAND 시장을 대체할 수 있

는 효과가 매우 미미하며, Random Access가 아니기 때문에 DRAM을 대체할 수 있는 속도

구현이 불가능해 보인다. 다시 말해 당사는 인텔의 3D X-Point는 DRAM 및 NAND 외에 추

가적인 메모리로 사용되면서 프리미엄 스토리지 시스템의 성능을 개선시키는 역할에 그칠 것

으로 전망한다. 인텔이 3D X-Point 외에도 3D NAND 공정기술을 준비하고 있다는 것으로

보아 인텔이 전세계 스토리지 시장 급성장에 대응하기 위해 투트랙 전략을 펼치고 있는 것으

로 추정된다.

RAM 기반의 SCM에서는 절대 열위

게다가 향후 SCM의 기술 방향성이 STT-MRAM, ReRAM, PCRAM 등 RAM 기반의 기술

로 전개될 경우, DRAM 팹이 없는 인텔 입장에서는 신규 라인 증설을 위한 고정비 증가가 발

생할 수 밖에 없기 때문에, 기존 DRAM 업체들 대비 시장에 탄력적으로 대응하기 어려운 단

점도 있다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

45 Research Center

4-5-2. 메모리 시장을 지키려는 삼성전자

3D NAND 원가 개선으로 NAND 시장 장악할 전망

단기적으로 스토리지 시장에서 인텔의 진입은 기존 NAND 업체들에게 위협 요소가 될 수 있

다. 특히 3D NAND 공정기술을 주도하면서 대규모 투자를 진행하고 있는 삼성전자에게 위협

이 될 것이라고 우려할 수도 있다. 그러나 삼성전자의 3D NAND 공정 기술 개발 속도가 매우

빠른 속도로 진행되고 있어서, 높은 원가와 비싼 가격으로 일부 부가가치 제공만으로 시장에

접근해야 하는 인텔이 오히려 시장 진입에 고전할 가능성 높다. 게다가 3D X-Point는 이론적

으로도 NAND 대비 원가에서 크게 열위일 수 밖에 없다. 인텔의 3D X-Point 출시로 메모리

시장에서 당분간 걱정할 내용은 없다. 당사는 중장기적으로 3D NAND 투자 Big Cycle에 의

한 삼성전자의 구조적인 이익 증가에 대한 전망을 지속 유지하며, DRAM 및 2D NAND 투자

축소에 의한 메모리 업황 개선 견해도 지속 유지한다.

DRAM 또는 NAND를 대체할 수 있는 STT-MRAM과 ReRAM 등 개발도 충실할 전망

2D NAND에서 3D NAND로 기술이 이전되는 구간에서 삼성전자는 리스크 없이 M/S를 상승

시키는 수혜를 받고 있다. 과거 공급 초과를 발생시키면서 M/S를 상승시키던 것과는 전혀 다

른 양상으로 M/S를 상승시키고 있는 것이다. 시간이 상당히 필요하겠지만 언젠가 DRAM 또

는 NAND 기술이 SCM으로 대체될 수 있을 것이다. 이때 삼성전자는 공정 기술 우위를 바탕

으로 시장을 압도하면서 리스크 없이 추가적인 M/S를 발생시킬 수 있는 기회를 얻을 것이다.

RAM 구조의 SCM은 DRAM 공정을 대부분 이용하기 때문에, 기존 팹을 상당부분 활용할 수

있다. 새롭게 시장에 침투하면서 많은 고정비를 투입해야 하는 신규 업체들 대비 훨씬 유리한

상황에서 시장에 대응할 수 있다.

[도표 68] 반도체 시장 양강업체인 인텔과 삼성전자

자료: 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

46 Research Center

4-6. SCM 시장에 대한 당사 전망 요약

SCM의 시장 침투 방향성은 명확하나, 침투 속도는 느리게 진행될 전망

요약하면, 빠른 Speed의 DRAM과 비휘발성, 고집적도의 NAND의 장점을 혼합한 SCM의 중

장기 성장 방향성은 명확해 보인다. 원가 요소에 집중되어 왔던 메모리 반도체들의 전략이 공

정미세화 속도 둔화로 차별화 요소를 부각시키는 방향으로 변화될 것으로 보이며, 과거 연산

중심 패러다임에서 향후 데이터 중심 패러다임으로 반도체 산업이 변화하면서 반도체 시장이

빠른 스토리지를 요구할 것이기 때문이다. 이때 SCM은 단기적으로는 프리미엄 스토리지 시장

에서 메모리와 스토리지간 병목현상을 해소시켜주는 버퍼 메모리 또는 고속 스토리지 역할을

하며 시장에 침투할 것으로 예상된다. 단, 고속 스토리지의 경우 삼성전자의 3D NAND 원가

가 급속도로 개선되고 있는 추세여서, 삼성의 3D NAND 탑재 SSD와의 경쟁으로 시장 침투

속도는 점진적으로 진행될 가능성 높다. 중장기적으로는 DRAM 및 NAND의 원가 개선이 막

히는 시점에서 기존 메모리와의 원가 격차를 축소시켜 기존 메모리 대비 우월한 성능을 부각

시키며 DRAM 또는 NAND 시장을 대체하는 역할을 할 것으로 기대된다. 단, DRAM의

Speed와 NAND의 고집적도를 구조적으로 따라잡지 못할 경우, 그 시장 대체는 일부 시장에

국한될 가능성 높다.

인텔의 3D X-Point는 프리미엄 스토리지 시장 대응을 위한 기술로 추정

인텔의 3D X-Point는 프리미엄 스토리지 시장에서 메모리와 스토리지 간 병목 현상을 해소

시켜 주는 역할을 할 것으로 예상된다. 이는 기존 메모리가 하지 못하던 역할을 하는 것으로

신규 시장을 창출하면서 시장에 진입하는 것이다. 중장기적으로는 3D X-Point가 DRAM 대

비 속도에서, NAND 대비 집적도에서 근본적으로 열위에 있기 때문에, 기존 DRAM 및

NAND 시장을 대체하는 기술로는 3D X-Point가 적합하지 못한 것으로 평가된다. 인텔은 3D

NAND와 3D X-Point를 스토리지 시장 확대에 대한 투트랙 전략으로 활용할 것으로 예상된

다.

중장기적으로 SCM은 메모리 시장 M/S를 변화시킬 수 있는 기술

인텔의 3D X-Point가 신규 시장을 창출하며 침투하기 때문에, SCM이 단기적으로 기존

DRAM 및 NAND 시장 수급 및 생산 업체들에게 미치는 부정적 영향은 없을 것이다. 중장기

적으로 SCM이 기존 메모리를 대체하는 시점이 올 경우, 기술을 선제적으로 확보한 업체가 기

술 전환 과정에서 M/S 확보 기회로 활용할 수 있다. 최근 2D NAND에서 3D NAND로의 기

술 전환에서 삼성전자가 리스크 없이(공급 초과 없이) M/S를 상승시키는 것과 유사한 전개가

예상된다. 이때 차세대 메모리는 DRAM 공정기술에서 Capacitor 관련 공정만 다르고 나머지

는 그대로 사용 가능하기 때문에, 기존 DRAM 업체들이 추가적인 고정비 증가가 제한적이라

는 측면에서 신규 진입 업체들 대비 유연하게 시장 변화에 대응할 수 있을 것이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

47 Research Center

5. 2017년 반도체 시장 전망

5-1. 여전히 Main Theme는 3D NAND

내년에도 3D NAND Big Cycle의 영향권

현재의 메모리 반도체 시장을 요약하면, IT Divergence 흐름, 제4차 산업혁명으로의 진입 등

으로 데이터 트래픽이 급증하는 과정에서 SSD 등 스토리지 시장 수요가 급증하고 있고,

NAND 기술은 2D NAND에서 3D NAND로 공정 전환이 발생하면서, 3D NAND 신규 증설

투자가 삼성전자에게 집중되고 있다는 것이다. 이에 삼성전자는 리스크 없는 구간(공급 초과

없는 구간)에서 NAND M/S를 확대하고 있고, DRAM 및 NAND 업황은 제한적인 공급 하에

타이트한 수급이 지속되는 수혜를 받고 있다. 또한 전세계 메모리 반도체 관련 장비/소재 업체

들은 신규 증설 확대 수혜를 받고 있고, 특히 3D NAND 관련 장비/소재 노출도가 높은 업체

들이 더 큰 실적 성장을 보이고 있다.

이러한 내용들은 이미 당사 산업 보고서에서 수 차례 밝힌 바 있다.

2016/03/07 [제4차 산업혁명과 반도체]

2016/04/04 [IT Convergence vs Divergence]

2016/08/23 [New Paradigm, New Multiple (Vol.3)]

2016/10/18 [3D NAND 투자 Big Cycle에 대한 확신]

5-1-1. 3D NAND 투자 집중에 의한 DRAM 및 2D NAND 투자 축소

삼성전자가 NAND M/S를 리스크 없이 상승시키는 특이한 구간

현재 NAND 시장은 3D NAND 캐파 증설이 매우 큰 폭으로 일어날 수 밖에 없는 특이한 구

간이다. 과거에 경험하지 못한 상황이며, 이는 2D NAND에서 3D NAND로 전환되는 기술 변

화 때문이다. 현재 시장 상황은 2D NAND 공정 전환 효과는 제한적이고 2D NAND 캐파 증

설도 없는 상황인데, NAND 수요는 여전히 급증하고 있다. NAND 수요 증가분을 대부분 3D

NAND 캐파 증설로 대응해야 하는 특이한 상황인 것이다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

48 Research Center

[도표 69] 2D NAND와 3D NAND 원가 추이 비교 – 현재는 NAND 시장 원가 개선 둔화 구간

자료: 교보증권 리서치센터

[도표 70] 전세계 NAND 공급 구조 도식화 – 삼성전자의 3D NAND 캐파 증설이 증가할 수 밖에 없는 이유

자료: 교보증권 리서치센터

2D NAND원가

3D NAND원가

생산원가

시간

①공정전환 효과높은 구간

②공정전환 효과미미한 구간

②3D NAND로 공정전환효과 재상승 구간

현재

공급

시간

①공정전환 효과높은 구간

②공정전환 효과미미한 구간

②3D NAND로 공정전환효과 재상승 구간

전세계NAND 수요=공급 (균형상태 가정)

2D NAND 원가 우위 3D NAND 원가 우위

공정전환에의한 공급증가

캐파증설에의한 공급증가

현재

①캐파증설 효과 증가(3D NAND만 투자)②삼성전자 수요 쏠림

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

49 Research Center

[도표 71] 삼성전자 3D NAND 투자 스케줄 (규모 및 시기는 교보증권 추정)

규모 2013 2014 2015 2016E 2017E

3Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q

시안 1차 40K/월 시안 2차 20K/월 시안 3차 40K/월 17L 1차 40K/월 평택 1차 40K/월 17L 2차 미정 평택 2차 미정

자료: 교보증권 리서치센터

중장기 NAND M/S 선점을 위한 치킨게임은 어찌 보면 당연한 선택

삼성전자의 3D NAND가 2D NAND 원가를 역전하는 구간으로 진입하고 3D NAND 원가 개

선이 큰 어려움 없이 지속적으로 진행될 경우, 일시적으로 캐파 증설에 대한 기여분이 다시 감

소하는 구간으로 진입할 수 있다. 그러나 이때 삼성전자는 오히려 공격적인 캐파 증설로 가격

하락에 의한 시장 수요 확대를 노릴 가능성이 매우 높다. 삼성전자는 가격 하락을 물량 증가

(원가 개선)로 상쇄시키며 이익을 유지할 수 있으며, 원가가 높은 후발업체들의 캐파 증설을

최대한 봉쇄하며 중장기 M/S를 확정시킬 수 있기 때문이다. 결국 공정 전환 효과가 공급에 기

여할 수 있는 구간에도 삼성전자의 캐파 확장은 높은 수준으로 유지될 것으로 예상한다.

[도표 72] 전세계 NAND 공급 구조 도식화 – 치킨게임으로 삼성은 이익 훼손 없이 M/S 확대 가능

자료: 교보증권 리서치센터

공급

시간

①공정전환 효과높은 구간

②공정전환 효과미미한 구간

②3D NAND로 공정전환효과 재상승 구간

전세계 NAND 수요

2D NAND 원가 우위 3D NAND 원가 우위

공정전환에의한 공급증가

캐파증설에의한 공급증가

삼성이 치킨게임으로 바라는 것은?

공급증가 가격하락 수요증가

(삼성의 원가우위 구간에서 삼성은 가격 하락에도이익 유지, M/S 확대 가능)

치킨게임에 의한 수요 증가

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

50 Research Center

[도표 73] 삼성전자 NAND 캐파 현황 및 전망 (단위: 천장/월) Fab 1Q16 2Q16 3Q16E 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E

Fab12 (2D NAND) 120 120 120 120 120 120 120 120

Fab 16 (2D NAND) 190 190 190 160 160 160 130 130

Fab 16 (3D NAND) 30 30 30 60 60

XiAn (3D NAND) 85 100 105 105 105 105 105 105

Fab 17 (3D NAND) 5 20 40 60 60

Fab 18 (3D NAND) 20 40 60

2D NAND 캐파 310 310 310 280 280 280 250 250

3D NAND 캐파 85 100 100 140 155 195 265 285

총 캐파 395 410 410 420 435 475 515 535

자료: 교보증권 리서치센터

5-2. 2017년 DRAM 시장 전망

5-2-1. 당분간 DRAM 공급은 공정미세화에서만 창출

삼성전자의 NAND CAPEX 집중 지속될 것. DRAM은 제한적인 공급 증가 지속될 전망

당사는 향후 수년간 삼성전자가 NAND에만 연간 10조원 내외의 CAPEX를 집행할 것으로 예

상하며, 상대적으로 DRAM CAPEX에는 유지보수에만 집행할 것으로 전망한다. 이에 DRAM

업황은 점진적 개선이 지속될 전망이며, 이번 DRAM 업황 상승 Cycle은 과거보다 길게 나타

날 가능성 높다.

[도표 74] 삼성전자 반도체 CAPEX 추이 및 전망 (교보증권 추정) – 향후 NAND 투자가 중심이 될 것

자료: 교보증권 리서치센터

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

2009 2010 2011 2012 2013 2014 2015 2016E

(십억원)

비메모리

NAND

DRAM

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

51 Research Center

[도표 75] DRAM 산업 Cycle 변화 – 과거 대비 업황 회복 속도가 느리나, 상승 Cycle이 길게 나타날 전망

자료: 교보증권 리서치센터

5-2-2. 내년 전세계 DRAM 공급 Bit Growth 제한적

올해 전세계 DRAM Bit Growth 예상 상회

올해 전세계 DRAM 출하 Bit Growth는 28.3%를 기록할 것으로 추정된다. 이는 연초 당사

또는 다수의 전망기관이 예측한 23~25% 수준의 Bit Growth를 상회하는 수치이다. 올해 출

하 Bit Growth가 시장 전망을 상회한 이유는 전반적인 중국 스마트폰 수요 호조가 기인했으며,

오포, 비보 등 높은 용량의 DRAM를 스마트폰에 탑재하는 업체들의 스마트폰 M/S 상승도 큰

영향을 미쳤다. DRAM 생산업체들이 추가적인 DRAM 원가 개선에 어려움을 겪었기 때문에

SK하이닉스와 마이크론의 2Znm 전환에도 불구하고 올해 전세계 생산 Bit Growth는 24%

수준에 그칠 것으로 추정된다. 이는 예상 대비 좋은 DRAM 수요 흐름으로 DRAM 업체들이

4분기에 내부 재고를 최소화하며 세트 업체들에 높은 가격으로 DRAM 물량을 출하시켰다는

것으로 해석된다.

[도표 76] 올해 DRAM 공급 Bit Growth 전망 – 연초 교보 전망 [도표 77] 올해 DRAM 공급 Bit Growth 전망 – 최근 Revision

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

과거 – 업황 회복이 빨랐던 이유는①수요 고성장과 ②후발업체 퇴출

짧은 주기

긴 주기

큰 진폭

작은 진폭

현재 위치?

최근 – 업황 회복이 느린 이유는① 수요 저성장과 ② 후발업체 퇴출 불가능

그러나, 바닥 확인 후 상승 Cycle이 길게 나타날 전망

28.9

25.3

14.5

23.6

0

5

10

15

20

25

30

35

40

삼성전자 SK하이닉스 마이크론 전세계

(%)

36.8

24.4

20.0

28.3

0

5

10

15

20

25

30

35

40

삼성전자 SK하이닉스 마이크론 전세계

(%)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

52 Research Center

단기 DRAM 가격 흐름은 연말 세트 수요 중요

따라서 현재 전세계 DRAM 재고 수준은 전반적으로 타이트한 가운데, DRAM 생산 업체들은

미미한 재고를, 세트업체들은 여유 재고를 가지고 있는 것으로 추정된다. 세트업체들에게 전가

된 DRAM 재고는 연말 세트 수요가 좋을 경우 정상 수준 또는 타이트한 수준, 연말 세트 수요

가 안 좋을 경우 초과된 수준으로 추정될 수 있기 때문에, 단기적인 DRAM 수급 및 가격은 올

해 말 IT 세트 수요에 연동될 것으로 보인다.

2017년은 제한적인 DRAM 공급 하에 안정적인 DRAM 가격 흐름 지속될 전망

그러나 내년 전세계 DRAM 공급 Bit Growth는 19.6%에 그칠 전망이어서, 내년 전반적인

DRAM 수급은 타이트한 흐름이 지속될 전망이다. DRAM 업체들 입장에서 내년 실적을 최대

화하기 위해서 가장 중요하게 관리해야 하는 것이 가격 흐름이다. 감가상각비, 인건비 등 고정

비 높은 산업 특성상 매출원가가 상당 부분 확정된 상황에서, 매출을 최대화하려면 가격 또는

물량 관리가 필요한데, 캐파 증가가 없고 공정미세화 효과가 적은 상태에서 물량으로는 실적

대응하기 어렵기 때문이다. 따라서 당사는 비수기인 내년 1분기에 DRAM 3강 업체들 모두 내

부 재고를 확보하면서 출하량을 관리하며 견조한 DRAM 흐름이 유지되도록 최선의 노력을 기

울일 것으로 예상한다. 그리고 확보된 재고를 신제품 출시 시기인 2분기부터 점진적으로 출하

대응할 것으로 예상한다. 이에 내년 DRAM 가격은 제한적인 공급 영향으로 안정적인 흐름이

지속될 것으로 예상한다.

[도표 78] 전세계 DRAM 공급 Bit Growth 추이 및 전망

자료: 교보증권 리서치센터

0

10

20

30

40

50

60

2011 2012 2013 2014 2015 2016E 2017E

(%)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

53 Research Center

5-3. 반도체 장비/소재업체 - 2017년에도 Big Cycle 수혜

3D NAND 투자가 Big Cycle인 이유

전세계 NAND 투자가 Big Cycle인 이유는 ①IT Divergence가 진행되면서 NAND 수요가

급증하고 있는 상황에서 2D NAND 공정전환 효과가 미미하여 대규모 3D NAND 캐파 증설

이 필요하고, ②현재 3D NAND를 양산화할 수 있는 업체가 삼성전자 밖에 없기 때문에 삼성

전자에게 캐파 증설 쏠림 현상이 발생하고 있다.

향후에는 삼성전자가 ③3D NAND 원가로 2D NAND를 역전하는 구간에서 추가적인 과감한

투자를 통해 M/S 확대 전략을 펼칠 것으로 보이며, ④2D NAND를 3D NAND로 전환하는 투

자로 시장 장악력을 확대할 전망이다. 이어서 ⑤후발업체들이 생존을 위한 3D NAND 캐파 증

설을 진행할 것이며, ⑥생산 가능한 원가를 확보한 업체들부터 기존 2D NAND 공정을 3D

NAND로 전환할 것으로 보인다.

3D NAND Theme 변화: “삼성전자 신규증설” “3D NAND로의 전환 & 후발업체 확산”

기술한 바 대로 구간별 모멘텀 큰 장비업체들이 부각을 받을 가능성 높다. 원익IPS, 엑시콘 등

삼성전자 노출도 높은 업체들은 ①, ②, ③ 구간에서, 원익IPS, 테스, 피에스케이 등 3D

NAND 특화된 장비를 생산하는 업체들은 ④, ⑥ 구간에서, 테크윙, 피에스케이, 주성엔지니어

링, 유니테스트 등 SK하이닉스 포함 해외 M/S를 확보한 업체들은 ⑤, ⑥ 구간에서 주목 받을

가능성 높다. 또한 테스, 유진테크 등 삼성전자와 SK하이닉스를 고르게 고객으로 확보한 업체

들은 ①, ②, ③, ⑤ 구간에서 주목받을 수 있다. 구간별 모멘텀을 정리하면, 2015년~2018년

실적 흐름을 전망하는데 좀 더 수월해질 수 있을 것으로 보인다.

또한 소재업체들은 DRAM, NAND, 비메모리, LCD, OLED 등의 제품 비중이 분산되어 있고,

삼성전자, SK하이닉스, 중국 등 고객 비중도 분산되어 있어서 안정적인 실적 성장에 의한 멀

티플 상승 흐름이 나타날 것으로 예상된다. 당사는 ①~⑥의 모멘텀을 모두 보유하고 있는 테

스(TP 32,000원)를 반도체 중소형주 중에서 최선호주로 추천한다. 소재업체들은 장비업체들

대비 모멘텀은 약하나 장비업체들과 동일한 방향성을 보유하고 있고 안정성 측면에서 접근하

는 것이 맞다는 판단 하에, 소재업체들을 선택적으로 압축 매수하는 것 보다는 바스켓으로 분

산 매수하는 전략이 유효할 것으로 보인다.

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

54 Research Center

[도표 79] 구간별 NAND 관련 업체들 투자 아이디어

자료: 교보증권 리서치센터

[도표 80] 장비업체들 구간별 수혜 폭 예상 (◎: 수혜 매우 큼, ○: 수혜 큼, △: 수혜 약간, X: 수혜 없음) ① ② ③ ④ ⑤ ⑥

원익 IPS ◎ ◎ ◎ ◎ △ △

케이씨텍 ○ ○ ○ ○ ○ ○

유진테크 ○ ◎ ○ ○ ◎ ○

주성엔지니어링 X X X X ◎ ◎

테스 ◎ ◎ ◎ ◎ ○ ◎

피에스케이 ○ ○ ○ ◎ ◎ ◎

테크윙 X X X X ◎ △

유니테스트 X X X X ◎ △

엑시콘 ○ ◎ ○ X △ X

자료: 교보증권 리서치센터

Big Cycle에 여전히 매력적인 밸류에이션

반도체 장비/소재 주식에 대한 시장의 우려는 투자 확대 이후 투자 공백이 발생해 왔던 경험

때문에, 장비/소재 업체들은 실적이 좋은 시기가 주가의 정점일 것이라는 것이다. 그러나 상기

설명과 같이 현재 삼성전자의 3D NAND 투자 확대는 수급 밸런스가 이루어진 상태에서 구조

적으로 M/S를 상승시키는 것이기 때문에, 이러한 우려는 너무나 이른 시점이다. 통상적으로

장비/소재업체들의 실적 또는 주가가 정점을 기록하는 시기는 메모리 시장 공급 초과 발생으

로 한동안 추가 투자가 공백기에 진입할 때이기 때문이다. 또한 향후 2D NAND의 3D NAND

공정 전환, 후발업체들의 3D NAND 투자 확산 등의 중장기 방향성이 아직 초입 구간에 불과

하여 3D NAND 특화 업체 및 고객사 분산 업체는 향후 수년간 실적 대폭 증가 수혜를 받을

전망이다.

공급

시간

①공정전환 효과높은 구간

②공정전환 효과미미한 구간

②3D NAND로 공정전환효과 재상승 구간

전세계NAND 수요=공급 (균형상태 가정)

2D NAND 원가 우위 3D NAND 원가 우위

공정전환에의한 공급증가

캐파증설에의한 공급증가

현재

①캐파증설 효과 증가(3D NAND만 투자)②삼성전자 수요 쏠림

향후

③삼성전자 치킨 게임 주도 (과감한 투자)④삼성전자 2D NAND 3D NAND 전환⑤후발업체들의 3D NAND 후행 투자⑥후발업체들의 2D NAND 3D NAND 전환

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

55 Research Center

[도표 81] 국내 및 해외 반도체 장비업체들 밸류에이션 비교 (단위: 십억원, 백만달러, 배, %) 시가총액 매출액 영업이익 순이익 PER PBR ROE 국내 장비업체 (십억원) 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 원익 IPS 932.8 347.5 485.6 53.5 93.3 39.2 81.3 23.8 11.7 4.1 3.0 18.1 29.3 케이씨텍 467.5 461.2 504.4 59.7 68.0 54.8 62.3 9.1 8.0 1.4 1.2 16.8 16.6 주성엔지니어링 451.1 264.4 305.6 36.1 47.4 28.6 41.9 15.8 10.8 3.3 2.7 22.6 27.0 테스 386.5 158.8 215.8 33.8 54.6 30.7 50.1 12.5 7.5 2.9 2.1 27.2 31.9 유진테크 375.8 143.1 159.9 37.8 43.9 32.9 37.7 11.8 10.0 1.8 1.6 16.8 17.0 피에스케이 265.3 163.0 188.8 26.0 32.1 24.1 26.5 10.9 9.8 1.3 1.2 12.5 12.5 해외 장비업체 (백만달러) 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E ASML 43,442 7,377.1 8,305.8 1,753.8 2,137.6 1,526.7 1,897.8 27.6 21.9 4.2 3.8 16.2 20.1 AMAT 31,151 10,841.9 12,241.3 2,356.8 2,879.4 1,939.9 2,416.2 16.3 12.9 4.5 3.9 25.3 29.1 LAM Research 15,874 5,885.9 6,826.2 1,128.3 1,623.8 914.0 1,363.2 15.7 12.7 2.2 2.3 16.6 19.6 KLA-TENCOR 11,796 2,984.5 3,263.4 996.5 1,137.6 704.4 825.4 15.8 14.2 16.6 10.6 144.5 103.0

자료: Bloomberg, Quantiwise, 교보증권 리서치센터 (원익IPS, 테스는 당사 추정치, 나머지는 컨센서스 기준)

[도표 82] 국내 및 해외 반도체 소재업체들 밸류에이션 비교 (단위: 십억원, 백만달러, 배, %) 시가총액 매출액 영업이익 순이익 PER PBR ROE 국내 소재업체 (십억원) 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E SK머티리얼즈 1,654.9 463.4 566.1 156.0 192.9 107.2 135.3 16.3 12.9 4.0 3.3 26.4 27.8 솔브레인 1,010.4 720.4 790.7 122.1 140.4 99.1 116.3 10.6 9.1 1.8 1.5 18.4 18.2 원익머트리얼즈 429.1 181.4 219.4 27.4 37.3 21.5 28.7 20.1 15.0 2.0 1.8 10.1 12.2 이엔에프테크놀로지 319.5 328.2 362.5 42.6 48.9 31.6 37.6 9.3 7.7 1.8 1.5 19.6 19.2 디엔에프 152.3 57.5 68.3 10.0 12.7 9.5 12.1 15.7 12.4 2.1 1.8 14.5 16.0 해외 소재업체 (백만달러) 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E 2016E 2017E Dow Chemical 60,162 47,485.8 49,714.2 6,717.6 7,139.5 4,125.1 4,496.1 14.8 13.3 2.4 2.1 17.3 17.7 Air Liquide 40,087 20,782.1 23,758.5 3,482.2 3,966.2 2,074.4 2,316.4 17.8 16.7 2.2 2.1 13.5 13.4 Air Proudct 30,001 9,514.7 7,967.4 2,177.1 1,837.3 1,638.9 1,395.4 18.5 21.7 4.1 3.6 21.7 17.8 Sumitomo 7,466 19,046.0 19,662.2 1,253.7 1,403.6 691.7 819.8 11.2 9.6 1.0 0.9 10.5 9.2 JSR 3,227 3,527.1 3,653.0 277.8 308.7 209.7 224.9 15.5 14.4 1.0 0.9 6.7 6.3 Cabot 3,148 2,443.5 2,521.4 312.5 336.2 195.0 213.2 16.4 14.6 2.4 2.3 14.9 16.0

자료: Bloomberg, Quantiwise, 교보증권 리서치센터

Company Analysis

삼성전자 005930 Nov 15, 2016

스토리지 시장 확대의 중장기 수혜

Buy 유지

TP 2,000,000 원 유지

Company Data 현재가(11/14) 1,553,000 원 액면가(원) 5,000 원 52주 최고가(보통주) 1,706,000 원 52주 최저가(보통주) 1,126,000 원 KOSPI (11/14) 1,974.40p KOSDAQ (11/14) 621.23p 자본금 8,975 억원 시가총액 2,434,193 억원 발행주식수(보통주) 14,068 만주 발행주식수(우선주) 2,051 만주 평균거래량(60일) 21.9 만주 평균거래대금(60일) 3,527 억원 외국인지분(보통주) 50.73% 주요주주

삼성물산 외 9 인 18.45% 국민연금 8.83%

Price & Relative Performance

주가수익률(%) 1개월 6개월 12개월

절대주가 -1.5 23.9 19.5 상대주가 0.9 23.5 19.4

스토리지 시장 성장의 구조적 수혜

전세계 IT 시장에서 데이터 트래픽이 방대하게 발생하고 있고 향후 서버 또는 데이터센

터가 기하급수적으로 증가할 것으로 예상되는 상황에서 CPU와 메모리리/스토리지 속도

관련 성능 격차는 더욱 확대되고 있음. 반도체 시장이 과거 연산 중심에서 향후 데이터

중심 패러다임으로 변화활 전망이어서 스토리지 시장 내 성능 개선 수요가 급증하고 있

고, 3D NAND를 통한 원가 개선이 뒷받침된 삼성전자의 SSD 시장 침투가 가속화될 전

망. 삼성전자 3D NAND의 독보적인 기술력은 삼성전자의 NAND M/S 상승으로 연결

될 전망. 고성능 스토리지 시장에 대해 장기적으로는 SCM(Storage Class Memory)으로

대응할 것으로 보이며, 삼성전자는 SCM에서도 독보적인 기술력을 확보한 것으로 파악

4분기 영업이익 7.61조원으로 대폭 개선될 전망

4Q16 실적은 매출액 49.98조원(+4.5% q-q), 영업이익 7.61조원(-0.6% q-q)으로 전

분기 대비 대폭 개선될 전망. 갤럭시노트7 기회손실로 IM 사업부 영업이익이 2.2조원에

그칠 전망이나, NAND 물량 증가 및 원가 개선에 의한 반도체 실적 개선, 성수기 효과

에 의한 CE 실적 개선이 돋보일 전망. 내년 실적은 반도체 및 디스플레이의 독보적인

경쟁력이 부각되며 실적이 큰 폭으로 개선될 전망. 올해 매출액 198.52조원(-1.1% y-

y), 영업이익 27.63조원(+4.6% y-y) 전망하며, 내년 매출액 213.48조원(+7.5% y-y), 영

업이익 34.15조원(+23.6% y-y) 전망

반도체 및 디스플레이의 독보적인 경쟁력이 부각되는 시점

동사 실적 안정성은 역사상 가장 높은 구간으로 판단되는데, 2000년대에는 메모리, LCD

산업의 Cyclic 성향 때문에 이익 변동이 심했고, 스마트폰 초입기에는 프리미엄 스마트

폰에 이익 편중이 심했음. 그러나 현재는 스마트폰과 TV의 브랜드 경쟁력이 전세계 최

상위권이고, SSD와 OLED 수요 급증이 예상되는 상황에서 3D NAND와 Flexible

OLED의 독보적인 경쟁력을 확보. 반도체와 디스플레이는 수년간 구조적인 실적 성장

을 견인할 전망

반도체 최도연

3771-9707, [email protected]

Forecast earnings & Valuation

12결산(십억원) 2014.12 2015.12 2016.12E 2017.12E 2018.12E 매출액(십억원) 206,206 200,653 198,525 213,481 222,020 YoY(%) -9.8 -2.7 -1.1 7.5 4.0 영업이익(십억원) 25,025 26,413 27,628 34,153 36,685 OP마진(%) 12.1 13.2 13.9 16.0 16.5 순이익(십억원) 23,394 19,060 22,417 28,161 30,334 EPS(원) 154,020 124,258 153,000 194,390 209,558 YoY(%) -23.2 -19.3 23.1 27.1 7.8 PER(배) 8.6 10.1 10.4 8.2 7.6 PCR(배) 4.3 3.8 4.7 4.0 3.9 PBR(배) 1.2 1.1 1.2 1.1 0.9 EV/EBITDA(배) 4.1 3.3 3.7 2.9 2.7 ROE(%) 15.1 11.2 12.2 13.8 13.2

0

500

1,000

1,500

2,000

-10

0

10

20

30

15.11.19 16.02.19 16.05.19 16.08.19

(천원)(%) KOSPI상대수익률 (좌측)

삼성전자주가 (우측)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

57 Research Center

[도표 83] 삼성전자 실적 추정 (단위: 십억원, %)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2015 2016E 2017E

매출액 49,789 50,937 47,816 49,983 50,013 53,260 54,852 55,356 200,653 198,525 213,481 반도체 11,150 12,000 13,150 13,932 13,669 14,627 15,332 15,969 47,590 50,232 59,597

메모리 7,940 8,430 9,860 10,280 10,074 10,735 11,384 11,586 34,290 36,510 43,780

비메모리 3,210 3,570 3,290 3,653 3,595 3,891 3,948 4,383 13,300 13,723 15,818

디스플레이 6,040 6,420 7,060 7,087 6,993 7,130 7,988 8,012 27,490 26,607 30,123

IM(무선) 27,600 26,560 22,540 22,610 24,757 26,071 26,267 25,852 103,560 99,310 102,947

핸드폰 24,972 24,004 20,230 20,203 22,443 23,697 23,830 23,352 93,994 89,409 93,322

CE(TV) 10,620 11,550 11,240 13,355 10,612 11,706 11,744 12,361 46,900 46,765 46,423

영업이익 6,676 8,144 5,200 7,608 7,456 8,810 8,901 8,986 26,413 27,628 34,153 반도체 2,630 2,640 3,370 3,519 3,298 3,796 4,123 4,141 12,790 12,159 15,359

메모리 2,437 2,408 3,140 3,263 3,082 3,544 3,847 3,835 12,376 11,248 14,307

비메모리 193 232 230 256 216 253 276 307 414 911 1,052

디스플레이 -270 140 1,020 817 891 954 1,267 1,208 2,290 1,707 4,320

IM(무선) 3,890 4,320 100 2,204 2,791 3,357 2,982 2,771 10,130 10,514 11,901

CE(TV) 510 1,030 770 1,068 478 702 528 865 1,250 3,378 2,574

영업이익률 (%) 13.4% 16.0% 10.9% 15.2% 14.9% 16.5% 16.2% 16.2% 13.2% 13.9% 16.0% 반도체 23.6% 22.0% 25.6% 25.3% 24.1% 26.0% 26.9% 25.9% 26.9% 24.2% 25.8%

메모리 30.7% 28.6% 31.8% 31.7% 30.6% 33.0% 33.8% 33.1% 36.1% 30.8% 32.7%

비메모리 6.0% 6.5% 7.0% 7.0% 6.0% 6.5% 7.0% 7.0% 3.1% 6.6% 6.6%

디스플레이 -4.5% 2.2% 14.4% 11.5% 12.7% 13.4% 15.9% 15.1% 8.3% 6.4% 14.3%

IM(무선) 14.1% 16.3% 0.4% 9.7% 11.3% 12.9% 11.4% 10.7% 9.8% 10.6% 11.6%

CE(TV) 4.8% 8.9% 6.9% 8.0% 4.5% 6.0% 4.5% 7.0% 2.7% 7.2% 5.5%

자료: 교보증권 리서치센터

[도표 84] 삼성전자 실적 추정 Key Data (단위: DRAM 512Mb*백만 Eq, NAND 8Gb*백만 Eq, LCD 천개, IM 백만개, ASP $)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2015 2016E 2017E DRAM 출하량 14,489 16,952 21,020 21,020 20,180 21,491 22,781 23,464 53,815 73,482 87,916

q-q (%) 1.0 17.0 24.0 0.0 -4.0 6.5 6.0 3.0 28.1 36.5 19.6 ASP 0.28 0.26 0.25 0.24 0.24 0.23 0.23 0.23 0.37 0.25 0.23

q-q (%) -12.0 -8.5 -4.0 -2.0 -2.5 -1.5 0.5 -2.0 -16.6 -30.9 -8.7 NAND 출하량 13,424 15,437 18,679 21,294 22,998 25,297 27,827 30,053 41,759 68,834 106,176

q-q (%) 8.0 15.0 21.0 14.0 8.0 10.0 10.0 8.0 50.8 64.8 54.2 ASP 0.22 0.21 0.20 0.20 0.19 0.18 0.18 0.17 0.27 0.21 0.18

q-q (%) -5.0 -5.0 -1.0 -3.0 -4.0 -3.5 -4.0 -5.0 -26.5 -23.6 -13.1 LCD 출하량 25,484 29,307 34,582 34,928 33,531 33,196 35,520 34,454 137,733 124,302 136,700

q-q (%) -20.0 15.0 18.0 1.0 -4.0 -1.0 7.0 -3.0 -11.1 -9.8 10.0 ASP 80 75 82 85 82 81 81 79 92 81 81

q-q (%) -5.0 -6.0 8.0 4.0 -3.0 -2.0 1.0 -3.5 -5.2 -11.8 -0.1 IM (무선) 출하량 (백만대) 98 96 96 96 97 99 103 106 424 386 404

q-q (%) -7.5 -2.0 -0.5 1.0 0.1 2.5 3.7 3.1 -4.7 -9.0 4.7 스마트폰 79 77 76 76 78 81 85 89 323 308 333 피쳐폰 13 13 14 13 13 12 11 10 64 53 45 태블릿 6 6 7 7 6 6 7 7 34 26 26

ASP 212 215 189 185 204 210 204 194 199 200 203 q-q (%) 16.4 1.3 -12.0 -2.0 10.0 3.0 -3.0 -5.0 -5.8 1.9 1.2

자료: 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

58 Research Center

[삼성전자 005930] 포괄손익계산서 단위: 십억원 재무상태표 단위: 십억원

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 매출액 206,206 200,653 198,525 213,481 222,020 유동자산 115,146 124,815 138,553 155,048 163,463 매출원가 128,279 123,482 118,507 125,456 129,847 현금및현금성자산 16,841 22,637 38,175 51,678 57,926 매출총이익 77,927 77,171 80,019 88,025 92,173 매출채권 및 기타채권 28,234 28,521 27,365 28,168 28,604 매출총이익률 (%) 37.8 38.5 40.3 41.2 41.5 재고자산 17,318 18,812 17,121 18,279 18,893 판매비와관리비 52,902 50,758 52,391 53,871 55,488 기타유동자산 52,753 54,845 55,892 56,923 58,041 영업이익 25,025 26,413 27,628 34,153 36,685 비유동자산 115,277 117,365 125,454 138,638 162,448 영업이익률 (%) 12.1 13.2 13.9 16.0 16.5 유형자산 80,873 86,477 91,945 99,345 104,531 EBITDA 43,078 47,344 49,651 57,845 61,233 관계기업투자금 5,232 5,276 6,201 7,195 8,234 EBITDA Margin (%) 20.9 23.6 25.0 27.1 27.6 기타금융자산 12,668 8,332 8,749 9,187 9,624 영업외손익 2,850 -452 2,152 2,976 3,658 기타비유동자산 16,504 17,279 18,559 22,912 40,059 관계기업손익 343 1,102 882 926 972 자산총계 230,423 242,180 264,007 293,686 325,911 금융수익 8,260 10,515 11,151 11,746 12,102 유동부채 52,014 50,503 54,380 57,905 60,744 금융비용 -7,294 -10,032 -9,887 -9,827 -9,777 매입채무 및 기타채무 32,272 27,673 29,301 30,884 31,051 기타 1,542 -2,037 7 132 361 차입금 8,029 11,155 12,271 13,498 14,848 법인세비용차감전순손익 27,875 25,961 29,780 37,129 40,343 유동성채무 1,779 222 210 295 295 법인세비용 4,481 6,901 7,363 8,968 10,009 기타유동부채 9,934 11,453 12,598 13,228 14,551 계속사업순손익 23,394 19,060 22,417 28,161 30,334 비유동부채 10,321 12,617 14,080 15,842 18,640 중단사업순손익 0 0 0 0 0 차입금 24 194 174 157 141 당기순이익 23,394 19,060 22,417 28,161 30,334 사채 1,356 1,230 1,054 930 844 당기순이익률 (%) 11.3 9.5 11.3 13.2 13.7 기타비유동부채 8,941 11,193 12,852 14,755 17,655 비지배지분순이익 312 366 401 489 542 부채총계 62,335 63,120 68,460 73,747 79,384 지배지분순이익 23,082 18,695 22,016 27,673 29,792 지배지분 162,182 172,877 188,656 212,189 237,840 지배순이익률 (%) 11.2 9.3 11.1 13.0 13.4 자본금 898 898 898 898 898 매도가능금융자산평가 -232 -415 -415 -415 -415 자본잉여금 4,404 4,404 4,404 4,404 4,404 기타포괄이익 -1,761 491 491 491 491 이익잉여금 169,530 185,132 202,495 226,028 251,679 포괄순이익 21,401 19,136 22,493 28,238 30,410 기타자본변동 -8,495 -13,592 -15,176 -15,176 -15,176 비지배지분포괄이익 410 332 421 519 559 비지배지분 5,906 6,183 6,891 7,750 8,687 지배지분포괄이익 20,991 18,804 22,072 27,718 29,851 자본총계 168,088 179,060 195,546 219,939 246,527 주: K-IFRS 회계기준 개정으로 기존의 기타영업수익/비용 항목은 제외됨 총차입금 11,266 12,874 13,778 14,944 16,188 현금흐름표 단위: 십억원 주요 투자지표 단위: 원, 배, %

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 영업활동 현금흐름 36,975 40,062 46,044 48,216 48,924 EPS 154,020 124,258 153,000 194,390 209,558 당기순이익 23,394 19,060 22,417 28,161 30,334 PER 8.6 10.1 10.4 8.2 7.6 비현금항목의 가감 22,324 29,611 27,250 29,845 28,757 BPS 1,101,035 1,173,643 1,350,539 1,519,003 1,702,636 감가상각비 16,910 19,663 20,767 22,386 23,189 PBR 1.2 1.1 1.2 1.1 0.9 외환손익 0 0 189 -132 -452 EBITDAPS 253,205 278,279 308,354 361,075 382,221 지분법평가손익 -343 -1,102 -882 -926 -972 EV/EBITDA 4.1 3.3 3.7 2.9 2.7 기타 5,756 11,050 7,175 8,517 6,993 SPS 1,399,911 1,362,216 1,347,768 1,449,299 1,507,271 자산부채의 증감 -3,837 -4,682 2,297 -2,580 -2,033 PSR 0.9 0.9 1.2 1.1 1.1 기타현금흐름 -4,906 -3,927 -5,919 -7,210 -8,134 CFPS 77,444 57,372 117,925 108,252 136,333 투자활동 현금흐름 -32,806 -27,168 -28,054 -31,689 -32,818 DPS 20,000 21,000 30,000 30,000 30,000 투자자산 -4,715 108 -460 -505 -505 유형자산 -22,043 -25,880 -26,128 -29,674 -28,257 재무비율 단위: 원, 배, % 기타 -6,049 -1,396 -1,466 -1,510 -4,055 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 재무활동 현금흐름 -3,057 -6,574 -1,887 -2,520 -9,359 성장성 단기차입금 1,833 3,202 1,116 1,227 1,350 매출액 증가율 -9.8 -2.7 -1.1 7.5 4.0 사채 -1,559 -1,609 -177 -124 -87 영업이익 증가율 -32.0 5.5 4.6 23.6 7.4 장기차입금 0 0 -19 -17 -16 순이익 증가율 -23.2 -18.5 17.6 25.6 7.7 자본의 증가(감소) 0 0 0 0 0 수익성 현금배당 -2,234 -3,130 -3,069 -4,140 -4,140 ROIC 22.1 19.1 19.6 23.7 24.0 기타 -1,098 -5,037 262 534 -6,467 ROA 10.4 7.9 8.7 9.9 9.6 현금의 증감 556 5,796 15,539 13,503 6,248 ROE 15.1 11.2 12.2 13.8 13.2 기초 현금 16,285 16,841 22,637 38,175 51,678 안정성 기말 현금 16,841 22,637 38,175 51,678 57,926 부채비율 37.1 35.3 35.0 33.5 32.2 NOPLAT 21,003 19,392 20,797 25,904 27,584 순차입금비율 4.9 5.3 5.2 5.1 5.0 FCF 13,176 9,761 18,988 17,342 21,841 이자보상배율 42.2 34.0 36.9 41.7 40.9 자료: 삼성전자, 교보증권 리서치센터

Company Analysis

SK하이닉스 000660 Nov 15, 2016

내년에도 견조한 메모리 반도체 수급

Buy 유지

TP 52,000 원 유지

Company Data 현재가(11/14) 39,700 원 액면가(원) 5,000 원 52주 최고가(보통주) 43,000 원 52주 최저가(보통주) 25,750 원 KOSPI (11/14) 1,974.40p KOSDAQ (11/14) 621.23p 자본금 36,577 억원 시가총액 289,017 억원 발행주식수(보통주) 72,800 만주 발행주식수(우선주) 0 만주 평균거래량(60일) 334.0 만주 평균거래대금(60일) 1,372 억원 외국인지분(보통주) 51.37% 주요주주

SK텔레콤 외 5 인 20.77% 국민연금 8.23%

Price & Relative Performance

주가수익률(%) 1개월 6개월 12개월

절대주가 -4.5 52.1 27.4 상대주가 -2.1 51.5 27.4

내년에도 견조한 메모리 업황 지속될 전망

현재의 메모리 반도체 시장을 요약하면, IT Divergence 흐름, 제4차 산업혁명으로의 진

입 등으로 데이터 트래픽이 급증하는 과정에서 SSD 등 스토리지 시장 수요가 급증하고

있고, NAND 기술은 2D NAND에서 3D NAND로 공정 전환이 발생하면서, DRAM

및 NAND 업황은 제한적인 공급 하에 타이트한 수급 지속 수혜. 특히 내년 전세계

DRAM 공급 Bit Growth는 19.6%에 그칠 전망이어서, 내년 전반적인 DRAM 수급은

타이트한 흐름이 지속될 전망

4분기 영업이익 1.18조원으로 추가 개선될 전망

DRAM 21nm가 3Q16부터 본격적으로 전환이 시작되어 연말까지 비중 40% 이상으로

상승할 전망이어서, 하반기 동사 DRAM은 원가 개선 구간으로 진입. 또한 중국향 스마

트폰 수요 증가로 타이트한 DRAM 수급 지속 중. NAND는 계절적 성수기 진입 및 제

한적인 공급 증가로 타이트한 수급 지속되고 있어서 가격 상승 효과로 4분기에 추가적

인 이익 개선 전망. 이에 4Q16 실적은 매출액 4조8,909억원(+15.3% q-q), 영업이익 1

조1,782억원(+62.3% q-q)으로 추가 개선될 전망

견조한 DRAM 및 NAND 업황 흐름 지속 전망

DRAM 업체들의 보수적인 공급 대응이 지속될 전망이고 향후 메모리 반도체 업체들이

DRAM보다 3D NAND에 CAPEX를 집중할 전망이기 때문에, 2017년 전세계 DRAM

공급 증가율은 20%를 하회할 것이 확실시. 이에 2017년 DRAM 수급은 타이트하게 유

지될 전망. 2017년 NAND 수급도 3D NAND CAPEX 집중 및 후발업체들의 생산 지

연으로 타이트한 흐름 지속될 전망. 단기적으로 1Q17 부품 계절적 비수기 진입에 대한

우려 존재하나, 2017년 DRAM 및 NAND 공히 ‘제한적인 공급 증가’가 핵심이기 때문

에, 가격 급락 없이 견조한 업황 지속될 전망

반도체 최도연

3771-9707, [email protected]

Forecast earnings & Valuation

12결산(십억원) 2014.12 2015.12 2016.12E 2017.12E 2018.12E 매출액(십억원) 17,126 18,798 16,731 19,737 21,019 YoY(%) 20.9 9.8 -11.0 18.0 6.5 영업이익(십억원) 5,109 5,336 2,919 4,637 5,361 OP마진(%) 29.8 28.4 17.4 23.5 25.5 순이익(십억원) 4,195 4,324 2,286 3,652 4,251 EPS(원) 5,842 5,937 3,140 5,014 5,837 YoY(%) 42.5 1.6 -47.1 59.7 16.4 PER(배) 8.2 5.2 12.7 7.9 6.8 PCR(배) 3.8 2.4 3.7 3.0 2.7 PBR(배) 1.9 1.0 1.2 1.1 0.9 EV/EBITDA(배) 4.1 2.3 3.6 2.8 2.3 ROE(%) 27.0 21.9 10.2 14.6 14.8

0

10

20

30

40

50

-20

-10

0

10

20

30

40

15.11.19 16.02.19 16.05.19 16.08.19

(천원)(%) KOSPI상대수익률 (좌측)

SK하이닉스주가 (우측)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

60 Research Center

SK하이닉스 실적 전망

[도표 85] SK하이닉스 실적 추정 (단위: 억원, %)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2015 2016E 2017E

매출액 36,557 39,409 42,436 48,909 47,348 48,206 50,203 51,608 187,980 167,312 197,365

DRAM 27,659 28,285 29,417 35,244 33,479 33,793 35,117 36,136 140,082 120,314 138,525

NAND 7,746 10,151 11,715 12,347 12,539 13,068 13,728 14,101 42,270 41,958 53,436

영업이익 5,618 4,529 7,260 11,782 10,398 10,864 12,142 12,965 53,361 29,188 46,369

DRAM 6,806 5,618 7,024 10,861 9,772 10,172 11,096 11,992 52,382 30,076 43,032

NAND -1,158 -1,067 224 888 593 658 1,007 929 979 -888 3,337

영업이익률 15.4% 11.5% 17.1% 24.1% 22.0% 22.5% 24.2% 25.1% 28.4% 17.4% 23.5%

DRAM 24.6% 19.9% 23.9% 30.8% 29.2% 30.1% 31.6% 33.2% 37.3% 25.0% 31.1%

NAND -14.9% -10.5% 1.9% 7.2% 4.7% 5.0% 7.3% 6.6% 2.3% -2.1% 6.2%

자료: 교보증권 리서치센터

[도표 86] SK하이닉스 주요 Key Data (단위: DRAM 512Mb Eq, NAND 8Gb Eq, %)

1Q16 2Q16 3Q16 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2015 2016E 2017E

DRAM 출하량 8,521 10,055 10,859 11,945 11,587 11,935 12,591 13,221 33,339 41,381 49,516

q-q (%) -3.0% 18.0% 8.0% 10.0% -3.0% 3.0% 5.5% 5.0% 23.4% 24.1% 19.7%

DRAM ASP 0.3 0.2 0.2 0.3 0.3 0.3 0.3 0.2 0.4 0.3 0.3

q-q (%) -14.0% -11.0% 0.0% 7.5% -2.5% -2.0% -1.5% -2.0% -19.8% -32.1% -2.7%

NAND 출하량 3,824 5,812 6,509 6,575 7,035 7,598 8,357 8,942 15,346 22,720 31,932

q-q (%) -11.0% 52.0% 12.0% 1.0% 7.0% 8.0% 10.0% 7.0% 65.8% 48.1% 40.5%

NAND ASP 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.2 0.3 0.2 0.2

q-q (%) -12.0% -11.0% 7.0% 3.0% -4.0% -3.5% -4.5% -4.0% -28.9% -32.4% -7.2%

환율 가정 1,201 1,163 1,120 1,135 1,140 1,140 1,140 1,140

자료: 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

61 Research Center

[SK하이닉스 000660] 포괄손익계산서 단위: 십억원 재무상태표 단위: 십억원

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 매출액 17,126 18,798 16,731 19,737 21,019 유동자산 10,364 9,760 9,843 11,763 14,211 매출원가 9,462 10,515 10,775 12,093 12,516 현금및현금성자산 437 1,176 1,440 2,310 3,598 매출총이익 7,664 8,283 5,956 7,644 8,503 매출채권 및 기타채권 4,421 2,686 2,673 3,218 3,587 매출총이익률 (%) 44.8 44.1 35.6 38.7 40.5 재고자산 1,498 1,923 1,918 2,060 2,245 판매비와관리비 2,554 2,947 3,037 3,007 3,142 기타유동자산 4,008 3,975 3,811 4,174 4,780 영업이익 5,109 5,336 2,919 4,637 5,361 비유동자산 16,520 19,918 21,946 23,388 24,579 영업이익률 (%) 29.8 28.4 17.4 23.5 25.5 유형자산 14,090 16,966 18,640 19,758 20,575 EBITDA 8,553 9,289 7,708 9,747 10,598 관계기업투자금 97 123 160 199 239 EBITDA Margin (%) 49.9 49.4 46.1 49.4 50.4 기타금융자산 135 138 142 146 150 영업외손익 -62 -67 -118 -132 -142 기타비유동자산 2,198 2,691 3,004 3,285 3,615 관계기업손익 23 25 27 30 30 자산총계 26,883 29,678 31,789 35,151 38,790 금융수익 679 847 825 848 875 유동부채 5,765 4,841 4,964 5,056 5,034 금융비용 -800 -830 -868 -903 -935 매입채무 및 기타채무 3,398 3,168 3,111 3,240 3,290 기타 36 -108 -103 -107 -112 차입금 734 148 141 134 127 법인세비용차감전순손익 5,048 5,269 2,800 4,505 5,220 유동성채무 1,021 865 986 1,030 1,030 법인세비용 853 946 514 853 969 기타유동부채 613 660 726 653 588 계속사업순손익 4,195 4,324 2,286 3,652 4,251 비유동부채 3,082 3,450 3,505 3,406 3,171 중단사업순손익 0 0 0 0 0 차입금 1,263 1,512 1,614 1,574 1,399 당기순이익 4,195 4,324 2,286 3,652 4,251 사채 1,157 1,293 1,229 1,167 1,109 당기순이익률 (%) 24.5 23.0 13.7 18.5 20.2 기타비유동부채 662 644 662 665 663 비지배지분순이익 0 1 1 1 1 부채총계 8,847 8,290 8,469 8,462 8,205 지배지분순이익 4,195 4,322 2,286 3,651 4,250 지배지분 18,036 21,387 23,320 26,688 30,584 지배순이익률 (%) 24.5 23.0 13.7 18.5 20.2 자본금 3,658 3,658 3,658 3,658 3,658 매도가능금융자산평가 -8 0 0 0 0 자본잉여금 4,144 4,144 4,144 4,144 4,144 기타포괄이익 -45 18 6 6 6 이익잉여금 10,277 14,359 16,292 19,660 23,556 포괄순이익 4,143 4,342 2,293 3,658 4,257 기타자본변동 0 -772 -772 -772 -772 비지배지분포괄이익 0 1 1 1 1 비지배지분 0 1 1 1 1 지배지분포괄이익 4,143 4,341 2,292 3,657 4,256 자본총계 18,036 21,388 23,321 26,689 30,586 주: K-IFRS 회계기준 개정으로 기존의 기타영업수익/비용 항목은 제외됨 총차입금 4,175 3,819 3,971 3,905 3,665 현금흐름표 단위: 십억원 주요 투자지표 단위: 원, 배, %

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 영업활동 현금흐름 5,867 9,320 7,347 8,533 9,337 EPS 5,842 5,937 3,140 5,014 5,837 당기순이익 4,195 4,324 2,286 3,652 4,251 PER 8.2 5.2 12.7 7.9 6.8 비현금항목의 가감 4,768 5,201 5,483 6,153 6,400 BPS 24,775 29,377 32,032 36,659 42,011 감가상각비 3,270 3,695 4,494 4,756 4,812 PBR 1.9 1.0 1.2 1.1 0.9 외환손익 37 85 -38 -16 7 EBITDAPS 11,911 12,760 10,588 13,389 14,558 지분법평가손익 -13 -25 -27 -30 -30 EV/EBITDA 4.1 2.3 3.6 2.8 2.3 기타 1,473 1,446 1,054 1,443 1,611 SPS 23,848 25,821 22,982 27,111 28,873 자산부채의 증감 -2,657 832 171 -349 -294 PSR 2.0 1.2 1.7 1.5 1.4 기타현금흐름 -439 -1,038 -593 -923 -1,020 CFPS 324 3,282 1,668 3,695 5,121 투자활동 현금흐름 -6,088 -7,126 -5,942 -6,168 -6,143 DPS 300 500 400 500 500 투자자산 273 -14 -14 -14 -14 유형자산 -4,801 -6,775 -6,128 -5,830 -5,581 재무비율 단위: 원, 배, % 기타 -1,560 -337 200 -325 -548 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 재무활동 현금흐름 28 -1,462 -1,136 -1,349 -1,730 성장성 단기차입금 0 0 -7 -7 -7 매출액 증가율 20.9 9.8 -11.0 18.0 6.5 사채 0 0 -65 -61 -58 영업이익 증가율 51.2 4.4 -45.3 58.9 15.6 장기차입금 28 -472 102 -40 -175 순이익 증가율 46.0 3.1 -47.1 59.7 16.4 자본의 증가(감소) 0 0 0 0 0 수익성 현금배당 0 -218 -353 -282 -353 ROIC 26.2 23.3 11.5 16.5 17.7 기타 0 -772 -813 -959 -1,137 ROA 17.6 15.3 7.4 10.9 11.5 현금의 증감 -195 739 264 871 1,288 ROE 27.0 21.9 10.2 14.6 14.8 기초 현금 632 437 1,176 1,440 2,310 안정성 기말 현금 437 1,176 1,440 2,310 3,598 부채비율 49.1 38.8 36.3 31.7 26.8 NOPLAT 4,247 4,379 2,383 3,759 4,366 순차입금비율 15.5 12.9 12.5 11.1 9.4 FCF 232 2,389 1,214 2,690 3,728 이자보상배율 30.0 45.0 23.7 38.3 47.1 자료: SK하이닉스, 교보증권 리서치센터

Company Analysis

테스 095610 Nov 15, 2016

3D NAND Big Cycle에 가장 큰 수혜업체

Buy 유지

TP 32,000 원 유지

Company Data 현재가(11/14) 20,650 원 액면가(원) 500 원 52주 최고가(보통주) 22,650 원 52주 최저가(보통주) 7,101 원 KOSPI (11/14) 1,974.40p KOSDAQ (11/14) 621.23p 자본금 51 억원 시가총액 3,865 억원 발행주식수(보통주) 1,872 만주 발행주식수(우선주) 0 만주 평균거래량(60일) 27.2 만주 평균거래대금(60일) 58 억원 외국인지분(보통주) 8.06% 주요주주

주숭일 외 11 인 29.72% 베어링자산운용 5.77%

Price & Relative Performance

주가수익률(%) 1개월 6개월 12개월

절대주가 -1.0 48.6 178.4 상대주가 6.0 68.4 200.5

3D NAND 투자 Big Cycle에 반도체 장비업체 최선호주

동사는 삼성전자와 SK하이닉스의 3D NAND 캐파 확대, 2D NAND의 3D NAND 전

환 투자에 모두 수혜를 받는 업체. 동사는 국내 반도체 장비 업체들 중 3D NAND 매출

노출도가 가장 높은 회사로, 향후 3D NAND 투자 확대 시기에 실적 증가 폭이 매우 빠

르게 진행될 것으로 예상. 삼성전자와 SK하이닉스를 모두 고객으로 확보하고 있다는 점

도 매우 매력적. 이에 당사는 테스를 반도체 중소형주 Top Pick으로 추천

전세계 3D NAND 캐파 확대 지속될 것

당사는 향후 3D NAND 투자 확대가 수년간 지속될 것으로 예상. 향후 NAND 시장은

서버 수요 증가 및 HDD 대체로 수요가 큰 폭으로 성장할 전망이며, 이에 더해 공급 업

체 증가와 3D NAND라는 신기술 침투로 공급 측면에서 격변의 시기를 경험할 전망.

과거 삼성전자의 메모리 반도체 CAPEX 전략을 보면, 고성장 수요가 나타나고 기술 격

차가 확대되는 구간에서 공격적인 캐파 대응으로 M/S 상승을 추구. 또한 삼성전자 외

후발업체들도 3D NAND 캐파를 증설해야 할 수 밖에 없기 때문에, 당분간 전세계 3D

NAND 투자는 지속 확대될 전망

2018년까지 큰 폭의 실적 개선 흐름 지속될 전망

삼성전자와 SK하이닉스의 3D NAND 투자 확대에 대한 수혜로 동사 올해 실적은 매출

액 1,588억원(+58.2% y-y), 영업이익 338억원(+256.9% y-y)으로 대폭 개선될 전망.

전방 업체들의 3D NAND 발주 상황에 따라 올해 실적이 생각보다 더 좋을 가능성도

존재. 또한 내년에도 매출액 2,158억원(+35.9% y-y), 영업이익 546억원(+61.4% y-y)

으로 대폭 개선될 전망. 2018년에는 3D NAND가 2D NAND 원가를 역전할 시기로

2D NAND 캐파가 3D NAND로 본격 전환될 시기여서, 3D NAND 특화 장비를 공급

하는 동사에게 관련 수혜가 집중될 전망

반도체 최도연

3771-9707, [email protected]

Forecast earnings & Valuation

12결산(십억원) 2014.12 2015.12 2016.12E 2017.12E 2018.12E 매출액(십억원) 110 100 159 216 259 YoY(%) 63.5 -8.9 59.0 35.9 20.0 영업이익(십억원) 17 11 34 55 69 OP마진(%) 15.5 11.0 21.4 25.5 26.6 순이익(십억원) 16 14 31 50 63 EPS(원) 1,653 1,313 1,641 2,563 3,160 YoY(%) 216.4 -20.5 25.0 56.1 23.3 PER(배) 11.0 12.0 12.6 8.0 6.5 PCR(배) 13.0 17.6 11.4 7.4 5.9 PBR(배) 2.2 1.7 3.0 2.2 1.7 EV/EBITDA(배) 7.1 9.3 8.7 4.9 3.3 ROE(%) 21.8 14.8 27.2 31.9 29.2

0

5

10

15

20

25

-20-10

0102030405060708090

100110120130140150160170180190200210220230240

15.11.19 16.02.19 16.05.19 16.08.19

(천원)(%) KOSDAQ상대수익률 (좌측)

테스주가 (우측)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

63 Research Center

[테스 095610] 포괄손익계산서 단위: 십억원 재무상태표 단위: 십억원

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 매출액 110 100 159 216 259 유동자산 70 79 118 177 239 매출원가 82 78 113 148 174 현금및현금성자산 13 10 39 81 125 매출총이익 27 22 46 68 84 매출채권 및 기타채권 11 14 19 23 28 매출총이익률 (%) 24.8 21.5 29.0 31.5 32.6 재고자산 14 21 26 36 45 판매비와관리비 10 11 12 13 16 기타유동자산 32 33 34 38 41 영업이익 17 11 34 55 69 비유동자산 42 44 47 50 52 영업이익률 (%) 15.6 10.6 21.3 25.3 26.5 유형자산 20 22 24 26 28 EBITDA 21 14 37 58 72 관계기업투자금 3 5 5 6 6 EBITDA Margin (%) 19.4 14.2 23.6 27.1 28.0 기타금융자산 5 5 5 6 6 영업외손익 0 4 1 2 4 기타비유동자산 14 13 13 12 12 관계기업손익 -1 0 0 0 0 자산총계 112 123 164 227 292 금융수익 4 7 4 5 6 유동부채 25 24 31 37 43 금융비용 -2 -2 -2 -3 -3 매입채무 및 기타채무 13 11 17 21 25 기타 -2 -1 0 0 0 차입금 0 11 12 13 14 법인세비용차감전순손익 17 15 35 57 72 유동성채무 0 0 0 0 0 법인세비용 1 1 4 7 9 기타유동부채 12 2 3 3 4 계속사업순손익 16 14 31 50 63 비유동부채 2 2 4 5 6 중단사업순손익 0 0 0 0 0 차입금 0 1 2 3 4 당기순이익 16 14 31 50 63 사채 0 0 0 0 0 당기순이익률 (%) 14.9 13.5 19.4 23.2 24.2 기타비유동부채 2 1 2 2 2 비지배지분순이익 0 0 0 0 0 부채총계 26 26 35 42 48 지배지분순이익 16 14 31 50 63 지배지분 86 97 129 185 243 지배순이익률 (%) 14.9 13.5 19.4 23.2 24.2 자본금 5 5 9 10 10 매도가능금융자산평가 0 0 0 0 0 자본잉여금 35 36 36 44 44 기타포괄이익 -1 0 0 0 0 이익잉여금 45 56 85 131 189 포괄순이익 16 13 30 49 62 기타자본변동 0 0 0 0 0 비지배지분포괄이익 0 0 0 0 0 비지배지분 0 0 0 0 0 지배지분포괄이익 16 13 30 49 62 자본총계 86 97 129 185 243 주: K-IFRS 회계기준 개정으로 기존의 기타영업수익/비용 항목은 제외됨 총차입금 10 12 14 16 18 현금흐름표 단위: 십억원 주요 투자지표 단위: 원, 배, %

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 영업활동 현금흐름 28 -2 25 38 50 EPS 1,653 1,313 1,641 2,563 3,160 당기순이익 16 14 31 50 63 PER 11.0 12.0 12.6 8.0 6.5 비현금항목의 가감 5 1 3 5 7 BPS 8,331 9,400 6,918 9,331 12,281 감가상각비 2 2 2 2 3 PBR 2.2 1.7 3.0 2.2 1.7 외환손익 0 0 0 0 0 EBITDAPS 2,151 1,380 2,002 2,988 3,658 지분법평가손익 1 0 0 0 0 EV/EBITDA 7.1 9.3 8.7 4.9 3.3 기타 3 -1 1 3 4 SPS 7,017 6,142 8,482 11,044 13,074 자산부채의 증감 5 -14 -6 -12 -12 PSR 2.6 2.6 2.4 1.9 1.6 기타현금흐름 2 -2 -4 -6 -7 CFPS 2,421 -352 1,253 1,790 2,344 투자활동 현금흐름 -32 -3 -16 -17 -17 DPS 160 210 210 210 210 투자자산 -13 10 -1 -1 -1 유형자산 -1 -2 -4 -5 -5 재무비율 단위: 원, 배, % 기타 -18 -10 -11 -11 -12 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 재무활동 현금흐름 3 2 20 21 11 성장성 단기차입금 0 11 1 1 1 매출액 증가율 63.5 -8.9 59.0 35.9 20.0 사채 0 -10 0 0 0 영업이익 증가율 172.4 -38.2 220.1 61.4 25.4 장기차입금 0 1 1 1 1 순이익 증가율 225.5 -17.3 127.6 63.0 25.0 자본의 증가(감소) 0 0 4 9 0 수익성 현금배당 -1 -2 -2 -4 -4 ROIC 37.4 20.4 53.7 76.8 80.7 기타 3 1 16 14 13 ROA 16.0 11.5 21.4 25.6 24.2 현금의 증감 -1 -3 29 42 45 ROE 21.8 14.8 27.2 31.9 29.2 기초 현금 14 13 10 39 81 안정성 기말 현금 13 10 39 81 125 부채비율 31.0 27.2 27.0 22.6 19.9 NOPLAT 16 10 30 48 60 순차입금비율 8.5 9.7 8.5 7.1 6.3 FCF 24 -4 23 35 46 이자보상배율 16.2 17.4 50.3 78.7 98.2 자료: 테스, 교보증권 리서치센터

Company Analysis

동부하이텍 000990 Nov 15, 2016

우려를 또 다시 불식시킨 호실적

Buy 유지

TP 30,000 원 유지

Company Data 현재가(11/14) 16,300 원 액면가(원) 5,000 원 52주 최고가(보통주) 20,700 원 52주 최저가(보통주) 10,950 원 KOSPI (11/14) 1,974.40p KOSDAQ (11/14) 621.23p 자본금 2,226 억원 시가총액 7,269 억원 발행주식수(보통주) 4,437 만주 발행주식수(우선주) 14 만주 평균거래량(60일) 30.7 만주 평균거래대금(60일) 52 억원 외국인지분(보통주) 13.65% 주요주주

동부 외 6 인 19.06% 동부건설 10.21%

Price & Relative Performance

주가수익률(%) 1개월 6개월 12개월

절대주가 -8.7 -12.6 43.6 상대주가 -6.5 -12.9 43.5

품질 불량 우려에도 호실적 기록하며 시장 우려를 불식

3Q16 실적은 매출액 1,982억원(+5.3% y-y, +4.3% q-q), 영업이익 458억원(-6.0% y-

y, +3.6% q-q)으로 호실적 기록. 비우호적인 환율 흐름과 특정 고객사 품질 불량 관련

일회성 비용 등을 감안하면, 매우 뛰어난 실적으로 판단. 4Q16에는 매출액 1,990억원

(+9.6% y-y, +0.4% q-q), 영업이익 353억원(+17.1% y-y, -22.9% q-q)으로 전년동기

대비 실적 개선 흐름 재차 시현할 전망. 4분기가 전통적인 비수기임에도 3분기와 유사한

매출을 기록할 것으로 전망하는 이유는 업황 호조로 가동률 하락이 거의 발생하고 있지

않기 때문이며, 인센티브 없다고 가정할 경우 비수기인 4분기에 성수기인 3분기 대비 오

히려 이익 개선도 가능. 이러한 가동률 흐름은 8인치 파운드리 업황 호조가 지속되고 있

다는 것을 증명

재무구조 개선 빠르게 진행 중

실적 호조 지속으로 동사 부채비율이 빠르게 개선(2014 716%, 2015 288%, 2016E

184%, 2017E 119%)되며 재무 리스크 해소 중. 그룹 리스크 및 업황 불신 등으로 동사

밸류에이션 멀티플이 동종 업체들 대비 매우 낮은 상태이나, 향후 재무 구조 개선으로

이러한 우려들을 해소시키며 밸류에이션 멀티플이 상승할 것으로 예상

안정적인 실적 개선 흐름이 밸류에이션 멀티플 상승으로 연결될 전망

8인치 파운드리 시장 호조 지속과 캐파 증가 효과가 지속되고 있어 동사 실적 개선 흐름

은 중장기 지속될 전망. DDI, 센서, MCU 등 8인치에 최적화된 비메모리 수요가 급증하

고 있는 추세는 중장기 IT 메가 트랜드와 관련되어 있음. 과도한 시장 우려와 부정적 수

급 흐름으로 최근 동사 주가 정체 중. 올해 예상 실적 기준 PER 7.6배, 내년 예상 실적

기준 PER 5.2배에 불과한 현재 주가는 과도한 저평가 상태로 판단. 목표주가 30,000원

유지하며, 이는 내년 예상 실적 기준 PER 9.5배 수준

반도체 최도연

3771-9707, [email protected]

Forecast earnings & Valuation

12결산(십억원) 2014.12 2015.12 2016.12E 2017.12E 2018.12E 매출액(십억원) 568 667 771 832 875 YoY(%) 15.0 17.4 15.7 7.9 5.1 영업이익(십억원) 46 125 166 195 219 OP마진(%) 8.1 18.7 21.5 23.4 25.0 순이익(십억원) -77 127 95 138 162 EPS(원) -1,736 2,856 2,139 3,110 3,652 YoY(%) 적지 흑전 -25.1 45.4 17.4 PER(배) -2.7 5.0 7.6 5.2 4.5 PCR(배) 1.4 2.9 3.3 2.9 2.7 PBR(배) 1.7 2.5 2.1 1.5 1.1 EV/EBITDA(배) 6.4 5.0 4.3 3.3 2.6 ROE(%) -47.3 67.6 31.4 33.0 28.5

0

5

10

15

20

25

-20

-10

0

10

20

30

40

50

60

70

80

90

15.11.19 16.02.19 16.05.19 16.08.19

(천원)(%) KOSPI상대수익률 (좌측)

동부하이텍주가 (우측)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

65 Research Center

[도표 87] 동부하이텍 실적 추이 및 전망 (단위: 십억원, %) 1Q16 2Q16 3Q16P 4Q16E 1Q17E 2Q17E 3Q17E 4Q17E 2015 2016E 2017E

매출액 183.9 190.1 198.2 199.0 194.2 215.4 217.5 205.0 666.6 771.1 832.1

y-y (%) 26.4% 25.6% 5.3% 9.6% 5.6% 13.3% 9.7% 3.0% +17.4% +15.7% +7.9%

영업이익 40.7 44.2 45.8 35.3 42.5 55.3 58.4 38.5 125.0 166.0 194.6

y-y (%) 121.2% 59.6% -6.0% 17.1% 4.3% 25.1% 27.5% 9.0% +174.3% 32.8% +17.2%

영업이익률 22.1% 23.3% 23.1% 17.7% 21.9% 25.7% 26.8% 18.8% 18.7% 21.5% 23.4%

자료: 교보증권 리서치센터

[도표 88] 분기별 원화 및 달러 환산 매출 비교 (지속 개선 중) [도표 89] 분기 평균 환율 흐름

자료: 교보증권 리서치센터 자료: 교보증권 리서치센터

[도표 90] 동부하이텍 재무 구조 추이 및 전망

자료: 교보증권 리서치센터

0

50

100

150

200

250

0

50

100

150

200

250

1Q14 3Q14 1Q15 3Q15 1Q16 3Q16

(백만USD)(십억원)원화 매출 (좌)

달러 환산 매출(우)

900

950

1,000

1,050

1,100

1,150

1,200

1,250

1Q14 3Q14 1Q15 3Q15 1Q16 3Q16

(원/USD)

0

100

200

300

400

500

600

700

800

900

1,000

0

100

200

300

400

500

600

700

800

900

1,000

2013 2014 2015 2016E 2017E

(%)(십억원)자본 (좌) 부채 (우) 부채비율 (우)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

66 Research Center

[동부하이텍 000990] 포괄손익계산서 단위: 십억원 재무상태표 단위: 십억원

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 매출액 568 667 771 832 875 유동자산 248 338 340 423 546 매출원가 431 437 489 514 529 현금및현금성자산 40 108 73 128 227 매출총이익 137 230 282 318 345 매출채권 및 기타채권 116 131 150 167 180 매출총이익률 (%) 24.1 34.5 36.5 38.2 39.5 재고자산 69 83 99 105 110 판매비와관리비 91 105 116 123 126 기타유동자산 22 15 19 24 29 영업이익 46 125 166 195 219 비유동자산 737 647 654 647 633 영업이익률 (%) 8.0 18.7 21.5 23.4 25.1 유형자산 592 533 548 549 546 EBITDA 128 208 255 286 306 관계기업투자금 71 59 53 46 41 EBITDA Margin (%) 22.5 31.2 33.1 34.4 35.0 기타금융자산 2 12 12 11 11 영업외손익 -135 -9 -71 -48 -35 기타비유동자산 72 43 41 40 35 관계기업손익 -46 -7 -19 -9 -6 자산총계 985 985 994 1,070 1,179 금융수익 3 3 1 2 4 유동부채 376 244 238 240 238 금융비용 -74 -64 -46 -37 -30 매입채무 및 기타채무 92 98 98 105 107 기타 -18 60 -7 -4 -3 차입금 8 5 2 0 -3 법인세비용차감전순손익 -89 116 95 147 184 유동성채무 259 121 121 121 121 법인세비용 -12 -11 0 9 22 기타유동부채 18 20 16 14 13 계속사업순손익 -77 127 95 138 162 비유동부채 488 487 407 343 294 중단사업순손익 0 0 0 0 0 차입금 392 400 320 256 205 당기순이익 -77 127 95 138 162 사채 0 0 0 0 0 당기순이익률 (%) -13.6 19.0 12.3 16.6 18.5 기타비유동부채 96 87 87 87 89 비지배지분순이익 0 0 0 0 0 부채총계 864 731 645 582 532 지배지분순이익 -77 127 95 138 162 지배지분 121 254 350 488 648 지배순이익률 (%) -13.6 19.0 12.3 16.6 18.5 자본금 223 223 223 223 223 매도가능금융자산평가 0 0 0 0 0 자본잉여금 128 128 128 128 128 기타포괄이익 -8 -12 -12 -12 -12 이익잉여금 -145 -25 70 208 367 포괄순이익 -85 115 83 126 151 기타자본변동 -80 -62 -62 -62 -62 비지배지분포괄이익 0 0 0 0 0 비지배지분 0 0 0 0 0 지배지분포괄이익 -85 115 83 126 151 자본총계 121 254 350 488 648 주: K-IFRS 회계기준 개정으로 기존의 기타영업수익/비용 항목은 제외됨 총차입금 658 526 443 376 322 현금흐름표 단위: 십억원 주요 투자지표 단위: 원, 배, %

12결산(십억원) 2014A 2015A 2016F 2017F 2018F 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 영업활동 현금흐름 48 153 144 199 216 EPS -1,736 2,856 2,139 3,110 3,652 당기순이익 -77 127 95 138 162 PER -2.7 5.0 7.6 5.2 4.5 비현금항목의 가감 218 93 122 113 110 BPS 2,712 5,708 7,856 10,956 14,548 감가상각비 72 72 81 84 81 PBR 1.7 2.5 2.1 1.5 1.1 외환손익 5 7 6 6 6 EBITDAPS 2,867 4,670 5,727 6,434 6,877 지분법평가손익 36 7 19 9 6 EV/EBITDA 6.4 5.0 4.3 3.3 2.6 기타 106 7 16 14 17 SPS 12,796 15,024 17,380 18,754 19,711 자산부채의 증감 -19 -21 -35 -17 -17 PSR 0.4 1.0 0.9 0.9 0.8 기타현금흐름 -75 -46 -37 -35 -39 CFPS 1,626 2,314 2,759 3,874 4,170 투자활동 현금흐름 -44 -12 -114 -93 -86 DPS 0 0 0 50 50 투자자산 -2 -2 -13 -2 -2 유형자산 -31 -96 -96 -85 -77 재무비율 단위: 원, 배, % 기타 -11 86 -5 -6 -7 12결산(십억원) 2014A 2015A 2016F 2017F 2018F 재무활동 현금흐름 1 -72 -51 -36 -17 성장성 단기차입금 1 -3 -3 -3 -3 매출액 증가율 15.0 17.4 15.7 7.9 5.1 사채 0 18 0 0 0 영업이익 증가율 흑전 174.3 32.8 17.2 12.6 장기차입금 0 -87 -80 -64 -51 순이익 증가율 적지 흑전 -25.1 45.4 17.4 자본의 증가(감소) 0 0 0 0 0 수익성 현금배당 0 0 0 0 -2 ROIC 5.1 19.0 23.3 24.7 25.6 기타 0 0 32 30 39 ROA -7.5 12.9 9.6 13.4 14.4 현금의 증감 5 68 -36 56 98 ROE -47.3 67.6 31.4 33.0 28.5 기초 현금 35 40 108 73 128 안정성 기말 현금 40 108 73 128 227 부채비율 716.0 287.6 184.3 119.4 82.1 NOPLAT 39 137 165 183 193 순차입금비율 66.9 53.4 44.6 35.2 27.3 FCF 72 103 123 172 186 이자보상배율 0.7 2.5 4.4 6.9 10.4 자료: 동부하이텍, 교보증권 리서치센터

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

67 Research Center

삼성전자 최근 2년간 목표주가 변동추이 최근 2년간 목표주가 변동추이

일자 투자의견 목표가(원) 일자 투자의견 목표가(원)

2014.11.14 매수 1,500,000 2015.12.09 매수 1,600,000 2015.01.30 매수 1,500,000 2016.01.29 매수 1,600,000 2015.02.11 매수 1,700,000 2016.03.24 매수 1,600,000 2015.03.13 매수 1,700,000 2016.04.04 매수 1,600,000 2015.04.30 매수 1,700,000 2016.04.28 매수 1,600,000 2015.05.12 매수 1,700,000 2016.06.15 매수 1,600,000 2015.06.26 매수 1,700,000 2016.07.29 매수 1,700,000 2015.07.31 매수 1,600,000 2016.08.22 매수 2,000,000 2015.09.14 매수 1,600,000 2016.09.28 매수 2,000,000 2015.10.30 매수 1,600,000 2016.10.28 매수 2,000,000 2015.11.17 매수 1,600,000 2016.11.15 매수 2,000,000

SK하이닉스 최근 2년간 목표주가 변동추이 최근 2년간 목표주가 변동추이

일자 투자의견 목표가(원) 일자 투자의견 목표가(원)

2014.11.14 매수 60,000 2016.01.07 매수 47,000 2015.01.20 매수 66,000 2016.01.27 매수 39,000 2015.04.24 매수 66,000 2016.03.07 매수 39,000 2015.05.12 매수 66,000 2016.04.27 매수 39,000 2015.06.10 매수 66,000 2016.05.23 매수 39,000 2015.06.30 매수 66,000 2016.07.05 매수 39,000 2015.07.24 매수 60,000 2016.07.27 매수 39,000 2015.08.03 매수 60,000 2016.08.22 매수 39,000 2015.09.14 매수 60,000 2016.09.20 매수 49,000 2015.10.22 매수 60,000 2016.10.26 매수 52,000 2015.11.17 매수 52,000 2016.11.15 매수 52,000

테스 최근 2년간 목표주가 변동추이 최근 2년간 목표주가 변동추이

일자 투자의견 목표가(원) 일자 투자의견 목표가(원)

2014.11.14 매수 22,000 2015.01.05 매수 26,000 2015.01.20 매수 26,000 2015.03.10 매수 26,000 2015.11.17 매수 17,000 2016.08.03 매수 32,000 2016.08.22 매수 32,000 2016.10.18 매수 32,000 2016.11.15 매수 32,000

동부하이텍 최근 2년간 목표주가 변동추이 최근 2년간 목표주가 변동추이

일자 투자의견 목표가(원) 일자 투자의견 목표가(원)

2015.08.25 매수 24,000 2016.07.08 매수 30,000 2015.09.14 매수 24,000 2016.08.22 매수 30,000 2015.10.06 매수 24,000 2016.08.26 매수 30,000 2015.11.13 매수 24,000 2016.11.15 매수 30,000 2015.11.17 매수 24,000 2016.01.04 매수 24,000 2016.01.12 매수 24,000 2016.02.12 매수 24,000 2016.03.07 매수 24,000 2016.04.27 매수 24,000 2016.05.16 매수 30,000

자료: 교보증권 리서치센터

0

500

1,000

1,500

2,000

2,500

14.10.27 15.04.27 15.10.27 16.04.27 16.10.27

주가

목표주가

(천원)

0

10

20

30

40

50

60

70

14.11.14 15.05.14 15.11.14 16.05.14 16.11.14

주가

목표주가

(천원)

0

5

10

15

20

25

30

35

14.11.14 15.05.14 15.11.14 16.05.14 16.11.14

주가

목표주가

(천원)

0

5

10

15

20

25

30

35

14.11.14 15.05.14 15.11.14 16.05.14 16.11.14

주가

목표주가

(천원)

반도체산업

Tech를 이해해야 전략이 보인다 (Vol. 5)

68 Research Center

■ Compliance Notice ■ 이 자료에 게재된 내용들은 작성자의 의견을 정확하게 반영하고 있으며, 외부의 부당한 압력이나 간섭 없이 작성되었음을 확인합니다. 이 조사자료는 당사 리서치센터가 신뢰할 수 있는 자료 및 정보로부터 얻어진 것이나, 당사가 그 정확성이나 완전성을 보증하는 것이 아닙니다. 따라서 이 조사자료는 투자참고자료로만 활용하시기 바라며, 어떠한 경우에도 고객의 증권투자 결과에 대한 법적 책임소재의 증빙자료로 사용될 수 없습니다. 또한 이 조사자료의 지적재산권은 당사에 있으므로 당사의 허락 없이 무단 복제 및 배포할 수 없습니다. ㆍ 동 자료는 제공시점 현재 기관투자가 또는 제3자에게 사전 제공한 사실이 없습니다. ㆍ 전일기준 당사에서 1% 이상 보유하고 있지 않습니다. ㆍ 추천종목은 전일기준 조사분석 담당자 및 그 배우자 등 관련자가 보유하고 있지 않습니다.

■ 투자의견 비율공시 및 투자등급관련사항 ■ 기준일자_2016.09.30

구분 Buy(매수) Trading Buy(매수) Hold(보유) Sell(매도)

비율 90.7 7.9 1.4 0.0

[ 업종 투자의견 ]

Overweight(비중확대): 업종 펀더멘털의 개선과 함께 업종주가의 상승 기대 Neutral(중립): 업종 펀더멘털상의 유의미한 변화가 예상되지 않음 Underweight(비중축소): 업종 펀더멘털의 악화와 함께 업종주가의 하락 기대

[ 기업 투자기간 및 투자등급 ] 향후 6개월 기준, 2015.6.1(Strong Buy 등급 삭제)

Buy(매수): KOSPI 대비 기대수익률 10%이상 Trading Buy: KOSPI 대비 10%이상 초과수익 예상되나 불확실성 높은 경우 Hold(보유): KOSPI 대비 기대수익률 -10~10% Sell(매도): KOSPI 대비 기대수익률 -10% 이하