6
Analog Dialogue 49-02 1 PLL用ループ・フィルタの設計: 値が変更可能なRC1つずつの場合 著者:Ken Gentile はじめに フェーズ・ロック・ループ(PLL )用の 2 次ループ・フィ ルタの設計においては、使用する抵抗とコンデンサの値 を決めることが主な作業になります。 R 0 C 0 C P の値の 決め方としては、稿末の関連資料に示すように標準的な 手法が確立されています(図 1 )。その方法は 3 次のルー プ・フィルタに拡張することもでき、オープンループの 帯域幅 ω 0 と位相余裕 φ M を設計パラメータとして使用して R 2 C 2 の値を決めることも可能です。大まかに言えば、 その手順は、 C P の解を直接求め、続いて残りの値を求め るというものになります。 PLL IC 製品によっては、固定値を持つ内蔵素子とし て、 C P R 2 C 2 を集積しているものがあります。その場 合、ループ応答の調整に使用できるのは R 0 C 0 のみで す。 C P の値は変更できないことから、上述した手順は使 用できません。本稿では、 C P の値が固定の場合に使用で きる他の手法を提案するほか、 C P の値を変更できない場 合の制約事項についての考察も行います。 R 0 C 0 C P R 0 R 2 C 0 C P C 2 チャージ・ ポンプ チャージ・ ポンプ VCOVCO2次ループ・ フィルタ 3次ループ・フィルタ 1. 標準的な 2 /3 次パッシブ・ループ・フィルタ 仮定 ここでは、 2 次ループ・フィルタを 3 次のパッシブ・フ ィルタに拡張する場合について考えます。この設計で は、 R 2 C 2 が存在することを前提としながら、 R 0 C 0 値を調整します。その際、一般に使用される以下の2 つの 仮定に基づいて作業を行います。 R 2 C 2 によって得られる極周波数は、 ω 0 (オープン ループのユニティ・ゲイン帯域幅)より大きくなけ ればならない。つまり、 f 0 0.1/(2πR 2 C 2 ) (ここで f 0 0 /(2π) )である R 0 C 0 C P のネットワーク上において、 R 2 C 2 よる直列の負荷は無視できるほど小さくなければ ならない 2次ループ・フィルタの伝達関数 2 次ループ・フィルタには、使用する素子に関連する2 の時定数 T 1 T 2 があります。 2 = 0 0 (1) 1 =( + 0 ) 2 (2) ループ・フィルタの伝達関数は、 T 1 T 2 C P で表さ れ、 PLL 全体の応答において重要な意味を持ちます。 () = ( 1 )( 1 2 )( 2 +1 ( 1 +1) ) (3) PLLのシステム関数 2 に示す小信号モデルを使えば、 PLL の応答を式で 表すことができます。また、このモデルは、入力での 位相の乱れによって生ずる出力での位相のバラツキを 解析するためのテンプレートにもなります。周波数 源となる VCO (電圧制御発振器)は、理想的な位相積 分器のように働くため、ゲイン K V 1/s 倍になります (積分と同等のラプラス変換)。また、PLL の小信号モデ ルは周波数に依存します( s=σ+jω )。 VCO K V /s K D Ó ERR Ó FB Ó IN Ó OUT H LF (s) 分周器 ループ・ フィルタ 1/N 位相比較器と チャージ・ ポンプ 2. PLL の小信号モデル PLL のクローズドループでの伝達関数 H CL θ OUT IN で定 義されます。一方、オープンループの伝達関数 H OL θ FB / θ IN で定義されますが、これはクローズドループの伝達関 数に影響を及ぼします。オープンループの伝達関数によ ってクローズドループの安定性が予測できるので、 H CL H OL で表すのは有効な手法です。 () = − ( () ) (4) () = − ( () 1− () ) (5) K は位相比較器( PFD )、チャージ・ポンプ、 VCO を合 わせたゲインを表し、 K=K D K V となります。 K D はチャー ジ・ポンプの電流で単位は「 A 」、 K V VCO のゲインで 単位は「Hz/V 」です。H OL H CL H LF はいずれもs の関数 です。式 4 の負の符号は、図 2 の加算ノードへの負帰還に よって位相が反転することを表しています。 H OL を式 4 ように定義すると、式5 の分母で減算されていることから、 クローズドループにおける安定性を直観的に説明できま す。 5 をよく見ると、ループの安定性の面では潜在的な問 題があることがわかります。H OL が複素周波数(s=σ+jω の関数であることを考えると、周波数に依存した振幅と 位相の成分が必然的に存在することになります。 H OL おいて、 s が何らかの値であるときにユニティ・ゲイン とゼロ位相シフト( ラジアンの整数倍)が同時に起き ると、 H CL の分母がゼロになります。このためクローズ ドループでのゲインは不定になり、システムは、完全に

PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-02 1

PLL用ループフィルタの設計

値が変更可能なRとCが1つずつの場合著者Ken Gentile

はじめに フェーズロックループ(PLL)用の2次ループフィルタの設計においては使用する抵抗とコンデンサの値を決めることが主な作業になりますR 0C 0C Pの値の決め方としては稿末の関連資料に示すように標準的な手法が確立されています(図1)その方法は3次のループフィルタに拡張することもできオープンループの帯域幅ω 0と位相余裕φ Mを設計パラメータとして使用してR 2とC 2の値を決めることも可能です大まかに言えばその手順はC Pの解を直接求め続いて残りの値を求めるというものになります

P L L I C製品によっては固定値を持つ内蔵素子としてC PR 2C 2を集積しているものがありますその場合ループ応答の調整に使用できるのはR 0とC 0のみですC Pの値は変更できないことから上述した手順は使用できません本稿ではC Pの値が固定の場合に使用できる他の手法を提案するほかC Pの値を変更できない場合の制約事項についての考察も行います

R0

C0

CP

R0

R2

C0

CP C2

チャージポンプ

チャージポンプ

VCOへ VCOへ

2次ループフィルタ

3次ループフィルタ

図 1 標準的な 2次 3次パッシブループフィルタ

仮定 ここでは 2次ループフィルタを 3次のパッシブフィルタに拡張する場合について考えますこの設計ではR 2とC 2が存在することを前提としながらR 0とC 0の値を調整しますその際一般に使用される以下の2つの仮定に基づいて作業を行います

R 2とC 2によって得られる極周波数はω 0(オープン ループのユニティゲイン帯域幅)より大きくなけ ればならないつまり f 0≦ 0 1 ( 2 π R 2C 2)(ここで f 0=ω 0 (2π ))である

R 0‐ C 0‐ C Pのネットワーク上において R 2と C 2に よる直列の負荷は無視できるほど小さくなければ ならない

2次ループフィルタの伝達関数 2次ループフィルタには使用する素子に関連する2つの時定数T 1とT 2があります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

ループフィルタの伝達関数は T 1 T 2 C Pで表されPLL全体の応答において重要な意味を持ちます

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

PLLのシステム関数 図 2に示す小信号モデルを使えば P L Lの応答を式で表すことができますまたこのモデルは入力での位相の乱れによって生ずる出力での位相のバラツキを解析するためのテンプレートにもなります周波数源となるV C O(電圧制御発振器)は理想的な位相積分器のように働くためゲイン K Vは 1 s倍になります

(積分と同等のラプラス変換)またPLLの小信号モデルは周波数に依存します( s=σ+jω)

VCO∙∙

KVsKD

OacuteERR

OacuteFB

OacuteIN OacuteOUT

HLF(s)

分周器

ループフィルタ

1N

位相比較器とチャージポンプ

図 2 P L Lの小信号モデル

P LLのクローズドループでの伝達関数H CLはθ OU T θ INで定義されます一方オープンループの伝達関数H OLはθ FBθ INで定義されますがこれはクローズドループの伝達関数に影響を及ぼしますオープンループの伝達関数によってクローズドループの安定性が予測できるのでH C LをH OLで表すのは有効な手法です

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

Kは位相比較器(PFD)チャージポンプVCOを合わせたゲインを表しK = K DK VとなりますK Dはチャージポンプの電流で単位は「A」K VはVCOのゲインで単位は「HzV」ですH OLH CLH LFはいずれもsの関数です式4の負の符号は図2の加算ノードへの負帰還によって位相が反転することを表していますH OLを式4のように定義すると式5の分母で減算されていることからクローズドループにおける安定性を直観的に説明できます

式5をよく見るとループの安定性の面では潜在的な問題があることがわかりますH OLが複素周波数(s=σ+jω)の関数であることを考えると周波数に依存した振幅と位相の成分が必然的に存在することになりますH O Lにおいて sが何らかの値であるときにユニティゲインとゼロ位相シフト(2πラジアンの整数倍)が同時に起きるとH C Lの分母がゼロになりますこのためクローズドループでのゲインは不定になりシステムは完全に

Analog Dialogue 49-022

不安定な状態に陥りますこのことはH O Lにおいては周波数に依存した振幅と位相の特性によって安定性が決まるということを表しています実際H O Lの振幅がユニティになる周波数では式5の分母がゼロになるのを避けるためにH OLの位相はゼロ(または2πの整数倍)から十分離れたところに位置するようにしなければなりません

H OLの振幅がユニティのときの周波数ω 0は非常に重要な意味を持ちますω 0におけるH OLの位相によってシステムの位相余裕φ Mが決まるからですω 0とφMはいずれもH OLから求められます

ω0とφMを使用してR0とC0を定義

設計パラメータとしてω 0とφ Mを使用しR 0とC 0の値を求めるためにはこれら4つの変数と何らかの定数を含む式が必要になりますまずH OLを定義する式4から見ていきますこの式にはH LFが含まれH LFにはT 1とT 2を介してR 0とC 0が含まれていますH OLは振幅と位相を持つので当然ω 0とφ Mも含まれます

式3を式4に代入して整理すると式6のようになりますH OLはT 1とT 2に加え定数KNC Pによって表されます

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

s= jωなのでH OLの周波数応答は式7のようになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

ここで分母に含まれる ( jω) 2を簡略化して -ω 2とします

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

H OLの振幅と位相は式9式10のようになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

T 1とT 2はR 0C 0C Pから成る式であることに注意してください式9でω=ω 0 |H OL|=1とするとユニティゲイン周波数が定義されますこの周波数でH OLの振幅はユニティになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

同様に式10でω=ω 0angH OL=φ Mとすると位相余裕φ Mは周波数ω 0(ユニティゲイン周波数)におけるH OLの位相として定義されます

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

式 11と式 1 2のT 2に式 1をT 1に式 2を代入して展開することでR 0とC 0を含む式は簡単に求められますこのようにω 0とφ Mは定数KNC Pを使用して変数R 0とC 0に関連づけることができました

R 0とC 0が含まれる式の解を同時に求めるのは容易ではありません例えば「Mathcad reg」(PTC社)で提供されているシンボリックプロセッサを使えば2つの連立方程式を解くことができますがarc tan関数はarccos関数に置き換えなければなりませんこの置き換えによってシンボリックプロセッサはR 0とC 0の解を求めることができ解集合

(R 0A C 0A R 0B C 0B R 0C C 0C R 0D C 0D)が得られますなおa rccos関数を使用するために式12で行う変換の詳細については稿末の付録を参照してください

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

Analog Dialogue 49-02 3

このような結果が得られたわけですがこれで終了ということではありませんここでの目的はω 0とφ MからR 0とC 0を求めることですこの結果は1組のR 0とC 0ではなくR 0とC 0の4組の候補ですしかし4組の解の詳細を見ると次のようにして1組の解が導かれます

PLLのモデリングにおいて全頁の式のすべての変数は正の値であることに注意してくださいまた φ Mは 0~π 2の範囲にあることから c o s ( φ M)も正の値ですこのためC 0AとR 0Bは明らかに負の数になりますR 0とC 0は負の値をとることはできないのでR 0AとC 0AR 0BとC 0Bの解集合は直ちに除外されますしかしR 0CとC 0CR 0DとC 0Dの組についてはさらなる検討が必要です

R 0CとC 0CR 0DとC 0Dを含む4つの式には次の共通の要素があることがわかります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この式1 3をよく見ると a 2- ( 2 a c ) c o s ( β ) + c 2の形になっていることがわかりますこれが任意の数b 2と等しいとすると次式のようになります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これは余弦定理の式です三角形の3辺の長さabcと長さが bの辺の対角である内角 βの関係を表していますb 2は三角形の1辺の長さの2乗であり正の数ですしたがって式 1 4の右辺も正になりますということは式 1 3も正でなければならず R 0 Dの分母も正になりますR 0 Dの分子も正であるためR 0D全体としては負の数になりますこのことからR 0 DとC 0 Dの解集合も除外されますこの結果式11式12に共通する解の候補としてはR 0CとC 0Cの1組だけが残ります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

R 0とC 0に関する制約

式 1 5と式 1 6は式 1 1と式 1 2に共通する解の候補ですが R 0と C 0の両方が正の値のときのみ有効になりますR 0をよく見るとcos2(x)の範囲は0~1なので分子は正になります分母も式13と同じなので正ですしたがってR 0は正になります一方C 0の分子も式13と同じであるため分母が次の条件を満たしていればC 0も正になります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これについて図3に示しました式17の左辺と右辺の値はいずれもy軸で表し(青色の曲線と緑色の曲線)横軸はω 0とφ Mで共有しています2つの曲線の交点はω 0とφ Mの境界条件に相当します式17が真になるケースは赤い

曲線で表されます赤い曲線の下の部分において横軸はC 0が正になるω 0とφ Mの範囲を規定しています青と緑の曲線の交点から破線を引いていますがその延長にある横軸上の点はC 0が正になる場合のφ Mの最大値であるφ M_MAXに相当します

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式18は0~π 2におけるφ M_MAXのa rccos関数の制約を満たすためにはC PNω 0

2がKよりも小さくなければならないということを表していますこれによってC 0が正である場合のω 0の上限値としてω 0_MAXが決まります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

KCOS (M) = CPN02

KCOS (M) gt CPN02

M = 2

M_MAX = arccos (CPN02K)

O_MAX = [K(CPN)]12

0 M

y = KCOS (M)

y = CPN02

y

K

0

図 3 C 0の分母に関する制約

3次ループフィルタに向けた補正 3次ループフィルタの場合R2とC2によって2次ループフィルタよりも位相がシフトしますこの位相シフトΔφは式20で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この位相シフトに対処するためにφ MからΔφを引きます

Analog Dialogue 49-024

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式1 5と式1 6にφ M _ N E Wを適用することによって2次の場合の解とは異なるR 0とC 0の値が得られます新しい値によりR 2とC 2によって生じる位相シフトを補償しますR 2とC 2が存在することでφ Mの最大許容値であるφ M _ M A Xにも影響が及びます新しいφ Mの最大値φ M_MAX_NEWは式22で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

まとめ

本稿ではR 0とC 0のみ値を変更可能な場合に2次 3次ループフィルタの設計パラメータとしてオープンループのユニティゲイン帯域幅ω 0と位相余裕φ Mを使用する方法を紹介しましたR 0とC 0を含む2次ループフィルタを使用したPLLのシミュレーションを行った結果H OLの理論的な周波数応答とそれによる位相余裕が完全に一致しましたこれによって本稿で示した式の検証を行うことができましたω 0とφ Mについては式19と式18によって2次ループフィルタにおけるそれぞれの上限値が得られます

R 0とC 0を決めるための最初の手順では2次のループフィルタを前提としましたこの手順では式21の位相余裕φ Mを調整して新しい値φ M_NEWに変更することで3次のループフィルタに拡張することができますこの結果式22のように新たな上限値φ M_MAX_NEWが得られます

式1 5と式1 6については2次ループフィルタに対応するシミュレーションによって検証を行いましたこれに対し3次ループフィルタ向けに拡張した設計手順の評価を行うにはループフィルタの応答H LF( s )をR 2とC 2を含めて次式のように再定義する必要があります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

このH L FをH O LとH C Lの式に代入することによってR 0とC 0を使用した3次ループフィルタのシミュレーションが可能になりますこのシミュレーションにより3次ループフィルタのH O Lによって得られる理論的な周波数応答と位相余裕をベースとした場合R 0とC 0の計算値に少しのズレが生じることがわかりますこれは主に 3次ループフィルタのH O LにおけるR 2とC 2の影響によるものです

R 0とC 0の式は2次のループフィルタを前提にしていることを思い出してくださいR 2とC 2は2次のループフィルタには存在しませんこのためループフィルタにR 2とC 2を含めるとそれらによって生じる位相シフトを補償するためにR 0とC 0を調整することになり誤差要因が作り出されますただしシミュレーションによればそうした誤差が生じてもR 0とC 0として調整後の値を使用しω 0を式1 9で導き出される最大値の1 4に制限することで許容可能な結果が得られることがわかります実際シミュレーションで得られたオープンループの帯域幅と位相余裕の値は3次のループフィルタを使用するPLLの設計値(ω 0φ M)からわずかにずれているだけです

シミュレーション結果 ここでは3次のループフィルタを使用するPLLについて4種のシミュレーションを実施した結果を示しますいずれのシミュレーションでもループフィルタの部品とPLLのパラメータについては以下に示す固定値を使用しました

CP = 1 5 nF

R2 = 165 kΩ

C2 = 337 pF

KD = 30 μA

KV = 3072 (122 88MHzにおいて25ppmV)

N = 100

シミュレーション1とシミュレーション2では計算値の上限である124 8Hz(ω 0_MAX)に近い値としてω 0=100Hzを使用しましたこの結果シミュレーション1とシミュレーション2では設計値(ω 0とφ M)から約1 0のずれが生じました一方シミュレーション3とシミュレーション4では上限の約1 4に相当するω 0=35Hzを使用しました予想どおりシミュレーション3とシミュレーション4では設計値(ω 0とφ M)に近い結果となり誤差はわずか1程度になりました

表1はシミュレーション結果をまとめたものです設計パラメータとして ω 0と φ Mの値が与えられたときの R 0 C 0ω 0_MAXφ M_MAXの計算値も含まれています本来比較のためにはシミュレーション1とシミュレーション3の両方でφ M=80degを使用するのが望ましいと言えますしかしシミュレーション1では式22のφ Mlt48degという制約を満たす必要があったためφ M=42degを使用しました

Analog Dialogue 49-02 5

表1 シミュレーション結果のまとめ

シミュレーション 1 シミュレーション 2 シミュレーション 3 シミュレーション 4

パラメータ ω0 ϕM ω0 ϕM ω0 ϕM ω0 ϕM

設計(値) 100 Hz 42deg 100 Hz 30deg 35 Hz 80deg 35 Hz 30deg

シミュレーション(値結果)

931 Hz 387deg 925 Hz 271deg 349 Hz 790deg 347 Hz 293deg

R0 9696k kΩ 1118 kΩ 2401 kΩ 1399 kΩ

C0 1485 nF 3670 nF 2255 nF 2124 nF1205380_MAX 1248 Hz 1248 Hz 1248 Hz 1248 Hz120543M_MAX 480deg 480deg 848deg 848deg

図 4と図 5にシミュレーションで得られたオープンループ クローズドループの応答を示しました

90

80

70

60

50

40

30

20

10

0

80

60

40

20

0

minus20

minus40

minus60

minus80

minus10001 1 10 100 1k 10k 100k 1M

FREQUENCY (Hz)

PH

AS

E (D

EG

RE

ES

)

MA

GN

ITU

DE

(dB

)

SIM 1 GAINSIM 1 PHASE

SIM 2 GAINSIM 2 PHASE

SIM 3 GAINSIM 3 PHASE

SIM 4 GAINSIM 4 PHASE

50

40

30

20

10

0

minus10

minus20

minus30

minus40

minus50

50

45

40

35

01 1 10 100 1k 10k

01 1 10 100 1k

FREQUENCY (Hz)

FREQUENCY (Hz)

PEAKINGM

AG

NIT

UD

E (d

B)

MA

GN

ITU

DE

(dB

)

SIM 1 GAIN SIM 2 GAIN SIM 3 GAIN SIM 4 GAIN

図 4 オープンループのゲインと位相 図 5 クローズドループのゲイン

Appendix不連続なarctan関数を連続的なarccos関数に変換する方法

式10は角φが角θ 2と角θ 1の差であることを表していますここでθ 2=arc tan(ωT 2)θ 1=arc tan(ωT 1)ですまたωT 2はx 1ωT 1はy 1と表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

これは図6に示した幾何学的関係に相当しますθ 1とθ 2はそれぞれ図6(B)と図6(A)の三角形によって定義できます図6(C)は2つの三角形を重ねてθ 1とθ 2の差φを表したものです

次式のように余弦定理は三角形の内角θ(辺cの対角)と三角形の3辺abcの長さの関係を表します

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

図6(C)の角φに余弦定理を当てはめると次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03

Page 2: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-022

不安定な状態に陥りますこのことはH O Lにおいては周波数に依存した振幅と位相の特性によって安定性が決まるということを表しています実際H O Lの振幅がユニティになる周波数では式5の分母がゼロになるのを避けるためにH OLの位相はゼロ(または2πの整数倍)から十分離れたところに位置するようにしなければなりません

H OLの振幅がユニティのときの周波数ω 0は非常に重要な意味を持ちますω 0におけるH OLの位相によってシステムの位相余裕φ Mが決まるからですω 0とφMはいずれもH OLから求められます

ω0とφMを使用してR0とC0を定義

設計パラメータとしてω 0とφ Mを使用しR 0とC 0の値を求めるためにはこれら4つの変数と何らかの定数を含む式が必要になりますまずH OLを定義する式4から見ていきますこの式にはH LFが含まれH LFにはT 1とT 2を介してR 0とC 0が含まれていますH OLは振幅と位相を持つので当然ω 0とφ Mも含まれます

式3を式4に代入して整理すると式6のようになりますH OLはT 1とT 2に加え定数KNC Pによって表されます

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

s= jωなのでH OLの周波数応答は式7のようになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

ここで分母に含まれる ( jω) 2を簡略化して -ω 2とします

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

H OLの振幅と位相は式9式10のようになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

T 1とT 2はR 0C 0C Pから成る式であることに注意してください式9でω=ω 0 |H OL|=1とするとユニティゲイン周波数が定義されますこの周波数でH OLの振幅はユニティになります

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

同様に式10でω=ω 0angH OL=φ Mとすると位相余裕φ Mは周波数ω 0(ユニティゲイン周波数)におけるH OLの位相として定義されます

1198791198792 = 11987711987701198621198620 (1)

1198791198791 = ( 119862119862119875119875119862119862119875119875+1198621198620

)1198791198792 (2)

119867119867119871119871119871119871(119904119904) = ( 1119862119862119875119875) (11987911987911198791198792) (

1199041199041198791198792+1119904119904(1199041199041198791198791+1)

) (3)

119867119867119874119874119871119871(119904119904) = minus119870119870 (119867119867119871119871119871119871(119904119904)119904119904119904119904 ) (4)

119867119867119862119862119871119871(119904119904) = minus119873119873 ( 119867119867119874119874119871119871(119904119904)1minus119867119867119874119874119871119871(119904119904)

) (5)

119867119867119874119874119871119871(119904119904) = minus( 1198701198701199041199042119904119904119862119862119875119875

) (11987911987911198791198792) (1199041199041198791198792+11199041199041198791198791+1

) (6)

119867119867119874119874119871119871(119895119895119895119895) = minus( 119870119870(119895119895119895119895)2119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (7)

119867119867119874119874119871119871(119895119895119895119895) = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1198951198951198951198951198791198792+11198951198951198951198951198791198791+1

) (8)

|119867119867119874119874119871119871(119895119895119895119895)| = ( 1198701198701198951198952119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(1198951198951198791198791)2)radic(1 + 119895119895211987911987911198791198792)2 + 1198951198952(1198791198792 minus 1198791198791)2 (9)

ang119867119867119874119874119871119871(119895119895119895119895) = 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(1198951198951198791198791) (10)

1 = ( 11987011987011989511989502119904119904119862119862119875119875

) (11987911987911198791198792) (1

1+(11989511989501198791198791)2)radic(1 + 1198951198950211987911987911198791198792)2 + 11989511989502(1198791198792 minus 1198791198791)2 (11)

Φ119872119872 = 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198792) minus 119886119886119886119886119886119886119886119886119886119886119886119886(11989511989501198791198791) (12)

1198771198770119860119860 =1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)

1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2 1198621198620119860119860 = minus(119870119870

2+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

1198771198770119861119861 = minus( 1198951198950119870119870119904119904radic1minuscos2(Φ119872119872)1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)2

) 1198621198620119861119861 = minus(1198701198702+211987011987011986211986211987511987511990411990411989511989502 cos(Φ119872119872)+(11986211986211987511987511990411990411989511989502)

2

11990411990411989511989502(11986211986211987511987511990411990411989511989502+119870119870 cos(Φ119872119872)))

式 11と式 1 2のT 2に式 1をT 1に式 2を代入して展開することでR 0とC 0を含む式は簡単に求められますこのようにω 0とφ Mは定数KNC Pを使用して変数R 0とC 0に関連づけることができました

R 0とC 0が含まれる式の解を同時に求めるのは容易ではありません例えば「Mathcad reg」(PTC社)で提供されているシンボリックプロセッサを使えば2つの連立方程式を解くことができますがarc tan関数はarccos関数に置き換えなければなりませんこの置き換えによってシンボリックプロセッサはR 0とC 0の解を求めることができ解集合

(R 0A C 0A R 0B C 0B R 0C C 0C R 0D C 0D)が得られますなおa rccos関数を使用するために式12で行う変換の詳細については稿末の付録を参照してください

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

Analog Dialogue 49-02 3

このような結果が得られたわけですがこれで終了ということではありませんここでの目的はω 0とφ MからR 0とC 0を求めることですこの結果は1組のR 0とC 0ではなくR 0とC 0の4組の候補ですしかし4組の解の詳細を見ると次のようにして1組の解が導かれます

PLLのモデリングにおいて全頁の式のすべての変数は正の値であることに注意してくださいまた φ Mは 0~π 2の範囲にあることから c o s ( φ M)も正の値ですこのためC 0AとR 0Bは明らかに負の数になりますR 0とC 0は負の値をとることはできないのでR 0AとC 0AR 0BとC 0Bの解集合は直ちに除外されますしかしR 0CとC 0CR 0DとC 0Dの組についてはさらなる検討が必要です

R 0CとC 0CR 0DとC 0Dを含む4つの式には次の共通の要素があることがわかります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この式1 3をよく見ると a 2- ( 2 a c ) c o s ( β ) + c 2の形になっていることがわかりますこれが任意の数b 2と等しいとすると次式のようになります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これは余弦定理の式です三角形の3辺の長さabcと長さが bの辺の対角である内角 βの関係を表していますb 2は三角形の1辺の長さの2乗であり正の数ですしたがって式 1 4の右辺も正になりますということは式 1 3も正でなければならず R 0 Dの分母も正になりますR 0 Dの分子も正であるためR 0D全体としては負の数になりますこのことからR 0 DとC 0 Dの解集合も除外されますこの結果式11式12に共通する解の候補としてはR 0CとC 0Cの1組だけが残ります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

R 0とC 0に関する制約

式 1 5と式 1 6は式 1 1と式 1 2に共通する解の候補ですが R 0と C 0の両方が正の値のときのみ有効になりますR 0をよく見るとcos2(x)の範囲は0~1なので分子は正になります分母も式13と同じなので正ですしたがってR 0は正になります一方C 0の分子も式13と同じであるため分母が次の条件を満たしていればC 0も正になります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これについて図3に示しました式17の左辺と右辺の値はいずれもy軸で表し(青色の曲線と緑色の曲線)横軸はω 0とφ Mで共有しています2つの曲線の交点はω 0とφ Mの境界条件に相当します式17が真になるケースは赤い

曲線で表されます赤い曲線の下の部分において横軸はC 0が正になるω 0とφ Mの範囲を規定しています青と緑の曲線の交点から破線を引いていますがその延長にある横軸上の点はC 0が正になる場合のφ Mの最大値であるφ M_MAXに相当します

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式18は0~π 2におけるφ M_MAXのa rccos関数の制約を満たすためにはC PNω 0

2がKよりも小さくなければならないということを表していますこれによってC 0が正である場合のω 0の上限値としてω 0_MAXが決まります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

KCOS (M) = CPN02

KCOS (M) gt CPN02

M = 2

M_MAX = arccos (CPN02K)

O_MAX = [K(CPN)]12

0 M

y = KCOS (M)

y = CPN02

y

K

0

図 3 C 0の分母に関する制約

3次ループフィルタに向けた補正 3次ループフィルタの場合R2とC2によって2次ループフィルタよりも位相がシフトしますこの位相シフトΔφは式20で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この位相シフトに対処するためにφ MからΔφを引きます

Analog Dialogue 49-024

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式1 5と式1 6にφ M _ N E Wを適用することによって2次の場合の解とは異なるR 0とC 0の値が得られます新しい値によりR 2とC 2によって生じる位相シフトを補償しますR 2とC 2が存在することでφ Mの最大許容値であるφ M _ M A Xにも影響が及びます新しいφ Mの最大値φ M_MAX_NEWは式22で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

まとめ

本稿ではR 0とC 0のみ値を変更可能な場合に2次 3次ループフィルタの設計パラメータとしてオープンループのユニティゲイン帯域幅ω 0と位相余裕φ Mを使用する方法を紹介しましたR 0とC 0を含む2次ループフィルタを使用したPLLのシミュレーションを行った結果H OLの理論的な周波数応答とそれによる位相余裕が完全に一致しましたこれによって本稿で示した式の検証を行うことができましたω 0とφ Mについては式19と式18によって2次ループフィルタにおけるそれぞれの上限値が得られます

R 0とC 0を決めるための最初の手順では2次のループフィルタを前提としましたこの手順では式21の位相余裕φ Mを調整して新しい値φ M_NEWに変更することで3次のループフィルタに拡張することができますこの結果式22のように新たな上限値φ M_MAX_NEWが得られます

式1 5と式1 6については2次ループフィルタに対応するシミュレーションによって検証を行いましたこれに対し3次ループフィルタ向けに拡張した設計手順の評価を行うにはループフィルタの応答H LF( s )をR 2とC 2を含めて次式のように再定義する必要があります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

このH L FをH O LとH C Lの式に代入することによってR 0とC 0を使用した3次ループフィルタのシミュレーションが可能になりますこのシミュレーションにより3次ループフィルタのH O Lによって得られる理論的な周波数応答と位相余裕をベースとした場合R 0とC 0の計算値に少しのズレが生じることがわかりますこれは主に 3次ループフィルタのH O LにおけるR 2とC 2の影響によるものです

R 0とC 0の式は2次のループフィルタを前提にしていることを思い出してくださいR 2とC 2は2次のループフィルタには存在しませんこのためループフィルタにR 2とC 2を含めるとそれらによって生じる位相シフトを補償するためにR 0とC 0を調整することになり誤差要因が作り出されますただしシミュレーションによればそうした誤差が生じてもR 0とC 0として調整後の値を使用しω 0を式1 9で導き出される最大値の1 4に制限することで許容可能な結果が得られることがわかります実際シミュレーションで得られたオープンループの帯域幅と位相余裕の値は3次のループフィルタを使用するPLLの設計値(ω 0φ M)からわずかにずれているだけです

シミュレーション結果 ここでは3次のループフィルタを使用するPLLについて4種のシミュレーションを実施した結果を示しますいずれのシミュレーションでもループフィルタの部品とPLLのパラメータについては以下に示す固定値を使用しました

CP = 1 5 nF

R2 = 165 kΩ

C2 = 337 pF

KD = 30 μA

KV = 3072 (122 88MHzにおいて25ppmV)

N = 100

シミュレーション1とシミュレーション2では計算値の上限である124 8Hz(ω 0_MAX)に近い値としてω 0=100Hzを使用しましたこの結果シミュレーション1とシミュレーション2では設計値(ω 0とφ M)から約1 0のずれが生じました一方シミュレーション3とシミュレーション4では上限の約1 4に相当するω 0=35Hzを使用しました予想どおりシミュレーション3とシミュレーション4では設計値(ω 0とφ M)に近い結果となり誤差はわずか1程度になりました

表1はシミュレーション結果をまとめたものです設計パラメータとして ω 0と φ Mの値が与えられたときの R 0 C 0ω 0_MAXφ M_MAXの計算値も含まれています本来比較のためにはシミュレーション1とシミュレーション3の両方でφ M=80degを使用するのが望ましいと言えますしかしシミュレーション1では式22のφ Mlt48degという制約を満たす必要があったためφ M=42degを使用しました

Analog Dialogue 49-02 5

表1 シミュレーション結果のまとめ

シミュレーション 1 シミュレーション 2 シミュレーション 3 シミュレーション 4

パラメータ ω0 ϕM ω0 ϕM ω0 ϕM ω0 ϕM

設計(値) 100 Hz 42deg 100 Hz 30deg 35 Hz 80deg 35 Hz 30deg

シミュレーション(値結果)

931 Hz 387deg 925 Hz 271deg 349 Hz 790deg 347 Hz 293deg

R0 9696k kΩ 1118 kΩ 2401 kΩ 1399 kΩ

C0 1485 nF 3670 nF 2255 nF 2124 nF1205380_MAX 1248 Hz 1248 Hz 1248 Hz 1248 Hz120543M_MAX 480deg 480deg 848deg 848deg

図 4と図 5にシミュレーションで得られたオープンループ クローズドループの応答を示しました

90

80

70

60

50

40

30

20

10

0

80

60

40

20

0

minus20

minus40

minus60

minus80

minus10001 1 10 100 1k 10k 100k 1M

FREQUENCY (Hz)

PH

AS

E (D

EG

RE

ES

)

MA

GN

ITU

DE

(dB

)

SIM 1 GAINSIM 1 PHASE

SIM 2 GAINSIM 2 PHASE

SIM 3 GAINSIM 3 PHASE

SIM 4 GAINSIM 4 PHASE

50

40

30

20

10

0

minus10

minus20

minus30

minus40

minus50

50

45

40

35

01 1 10 100 1k 10k

01 1 10 100 1k

FREQUENCY (Hz)

FREQUENCY (Hz)

PEAKINGM

AG

NIT

UD

E (d

B)

MA

GN

ITU

DE

(dB

)

SIM 1 GAIN SIM 2 GAIN SIM 3 GAIN SIM 4 GAIN

図 4 オープンループのゲインと位相 図 5 クローズドループのゲイン

Appendix不連続なarctan関数を連続的なarccos関数に変換する方法

式10は角φが角θ 2と角θ 1の差であることを表していますここでθ 2=arc tan(ωT 2)θ 1=arc tan(ωT 1)ですまたωT 2はx 1ωT 1はy 1と表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

これは図6に示した幾何学的関係に相当しますθ 1とθ 2はそれぞれ図6(B)と図6(A)の三角形によって定義できます図6(C)は2つの三角形を重ねてθ 1とθ 2の差φを表したものです

次式のように余弦定理は三角形の内角θ(辺cの対角)と三角形の3辺abcの長さの関係を表します

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

図6(C)の角φに余弦定理を当てはめると次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03

Page 3: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-02 3

このような結果が得られたわけですがこれで終了ということではありませんここでの目的はω 0とφ MからR 0とC 0を求めることですこの結果は1組のR 0とC 0ではなくR 0とC 0の4組の候補ですしかし4組の解の詳細を見ると次のようにして1組の解が導かれます

PLLのモデリングにおいて全頁の式のすべての変数は正の値であることに注意してくださいまた φ Mは 0~π 2の範囲にあることから c o s ( φ M)も正の値ですこのためC 0AとR 0Bは明らかに負の数になりますR 0とC 0は負の値をとることはできないのでR 0AとC 0AR 0BとC 0Bの解集合は直ちに除外されますしかしR 0CとC 0CR 0DとC 0Dの組についてはさらなる検討が必要です

R 0CとC 0CR 0DとC 0Dを含む4つの式には次の共通の要素があることがわかります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この式1 3をよく見ると a 2- ( 2 a c ) c o s ( β ) + c 2の形になっていることがわかりますこれが任意の数b 2と等しいとすると次式のようになります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これは余弦定理の式です三角形の3辺の長さabcと長さが bの辺の対角である内角 βの関係を表していますb 2は三角形の1辺の長さの2乗であり正の数ですしたがって式 1 4の右辺も正になりますということは式 1 3も正でなければならず R 0 Dの分母も正になりますR 0 Dの分子も正であるためR 0D全体としては負の数になりますこのことからR 0 DとC 0 Dの解集合も除外されますこの結果式11式12に共通する解の候補としてはR 0CとC 0Cの1組だけが残ります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

R 0とC 0に関する制約

式 1 5と式 1 6は式 1 1と式 1 2に共通する解の候補ですが R 0と C 0の両方が正の値のときのみ有効になりますR 0をよく見るとcos2(x)の範囲は0~1なので分子は正になります分母も式13と同じなので正ですしたがってR 0は正になります一方C 0の分子も式13と同じであるため分母が次の条件を満たしていればC 0も正になります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

これについて図3に示しました式17の左辺と右辺の値はいずれもy軸で表し(青色の曲線と緑色の曲線)横軸はω 0とφ Mで共有しています2つの曲線の交点はω 0とφ Mの境界条件に相当します式17が真になるケースは赤い

曲線で表されます赤い曲線の下の部分において横軸はC 0が正になるω 0とφ Mの範囲を規定しています青と緑の曲線の交点から破線を引いていますがその延長にある横軸上の点はC 0が正になる場合のφ Mの最大値であるφ M_MAXに相当します

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式18は0~π 2におけるφ M_MAXのa rccos関数の制約を満たすためにはC PNω 0

2がKよりも小さくなければならないということを表していますこれによってC 0が正である場合のω 0の上限値としてω 0_MAXが決まります

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

KCOS (M) = CPN02

KCOS (M) gt CPN02

M = 2

M_MAX = arccos (CPN02K)

O_MAX = [K(CPN)]12

0 M

y = KCOS (M)

y = CPN02

y

K

0

図 3 C 0の分母に関する制約

3次ループフィルタに向けた補正 3次ループフィルタの場合R2とC2によって2次ループフィルタよりも位相がシフトしますこの位相シフトΔφは式20で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus21198701198701198701198701198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

2 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870119862119862119862119862119875119875119875119875 119870119870119870119870

radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

この位相シフトに対処するためにφ MからΔφを引きます

Analog Dialogue 49-024

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式1 5と式1 6にφ M _ N E Wを適用することによって2次の場合の解とは異なるR 0とC 0の値が得られます新しい値によりR 2とC 2によって生じる位相シフトを補償しますR 2とC 2が存在することでφ Mの最大許容値であるφ M _ M A Xにも影響が及びます新しいφ Mの最大値φ M_MAX_NEWは式22で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

まとめ

本稿ではR 0とC 0のみ値を変更可能な場合に2次 3次ループフィルタの設計パラメータとしてオープンループのユニティゲイン帯域幅ω 0と位相余裕φ Mを使用する方法を紹介しましたR 0とC 0を含む2次ループフィルタを使用したPLLのシミュレーションを行った結果H OLの理論的な周波数応答とそれによる位相余裕が完全に一致しましたこれによって本稿で示した式の検証を行うことができましたω 0とφ Mについては式19と式18によって2次ループフィルタにおけるそれぞれの上限値が得られます

R 0とC 0を決めるための最初の手順では2次のループフィルタを前提としましたこの手順では式21の位相余裕φ Mを調整して新しい値φ M_NEWに変更することで3次のループフィルタに拡張することができますこの結果式22のように新たな上限値φ M_MAX_NEWが得られます

式1 5と式1 6については2次ループフィルタに対応するシミュレーションによって検証を行いましたこれに対し3次ループフィルタ向けに拡張した設計手順の評価を行うにはループフィルタの応答H LF( s )をR 2とC 2を含めて次式のように再定義する必要があります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

このH L FをH O LとH C Lの式に代入することによってR 0とC 0を使用した3次ループフィルタのシミュレーションが可能になりますこのシミュレーションにより3次ループフィルタのH O Lによって得られる理論的な周波数応答と位相余裕をベースとした場合R 0とC 0の計算値に少しのズレが生じることがわかりますこれは主に 3次ループフィルタのH O LにおけるR 2とC 2の影響によるものです

R 0とC 0の式は2次のループフィルタを前提にしていることを思い出してくださいR 2とC 2は2次のループフィルタには存在しませんこのためループフィルタにR 2とC 2を含めるとそれらによって生じる位相シフトを補償するためにR 0とC 0を調整することになり誤差要因が作り出されますただしシミュレーションによればそうした誤差が生じてもR 0とC 0として調整後の値を使用しω 0を式1 9で導き出される最大値の1 4に制限することで許容可能な結果が得られることがわかります実際シミュレーションで得られたオープンループの帯域幅と位相余裕の値は3次のループフィルタを使用するPLLの設計値(ω 0φ M)からわずかにずれているだけです

シミュレーション結果 ここでは3次のループフィルタを使用するPLLについて4種のシミュレーションを実施した結果を示しますいずれのシミュレーションでもループフィルタの部品とPLLのパラメータについては以下に示す固定値を使用しました

CP = 1 5 nF

R2 = 165 kΩ

C2 = 337 pF

KD = 30 μA

KV = 3072 (122 88MHzにおいて25ppmV)

N = 100

シミュレーション1とシミュレーション2では計算値の上限である124 8Hz(ω 0_MAX)に近い値としてω 0=100Hzを使用しましたこの結果シミュレーション1とシミュレーション2では設計値(ω 0とφ M)から約1 0のずれが生じました一方シミュレーション3とシミュレーション4では上限の約1 4に相当するω 0=35Hzを使用しました予想どおりシミュレーション3とシミュレーション4では設計値(ω 0とφ M)に近い結果となり誤差はわずか1程度になりました

表1はシミュレーション結果をまとめたものです設計パラメータとして ω 0と φ Mの値が与えられたときの R 0 C 0ω 0_MAXφ M_MAXの計算値も含まれています本来比較のためにはシミュレーション1とシミュレーション3の両方でφ M=80degを使用するのが望ましいと言えますしかしシミュレーション1では式22のφ Mlt48degという制約を満たす必要があったためφ M=42degを使用しました

Analog Dialogue 49-02 5

表1 シミュレーション結果のまとめ

シミュレーション 1 シミュレーション 2 シミュレーション 3 シミュレーション 4

パラメータ ω0 ϕM ω0 ϕM ω0 ϕM ω0 ϕM

設計(値) 100 Hz 42deg 100 Hz 30deg 35 Hz 80deg 35 Hz 30deg

シミュレーション(値結果)

931 Hz 387deg 925 Hz 271deg 349 Hz 790deg 347 Hz 293deg

R0 9696k kΩ 1118 kΩ 2401 kΩ 1399 kΩ

C0 1485 nF 3670 nF 2255 nF 2124 nF1205380_MAX 1248 Hz 1248 Hz 1248 Hz 1248 Hz120543M_MAX 480deg 480deg 848deg 848deg

図 4と図 5にシミュレーションで得られたオープンループ クローズドループの応答を示しました

90

80

70

60

50

40

30

20

10

0

80

60

40

20

0

minus20

minus40

minus60

minus80

minus10001 1 10 100 1k 10k 100k 1M

FREQUENCY (Hz)

PH

AS

E (D

EG

RE

ES

)

MA

GN

ITU

DE

(dB

)

SIM 1 GAINSIM 1 PHASE

SIM 2 GAINSIM 2 PHASE

SIM 3 GAINSIM 3 PHASE

SIM 4 GAINSIM 4 PHASE

50

40

30

20

10

0

minus10

minus20

minus30

minus40

minus50

50

45

40

35

01 1 10 100 1k 10k

01 1 10 100 1k

FREQUENCY (Hz)

FREQUENCY (Hz)

PEAKINGM

AG

NIT

UD

E (d

B)

MA

GN

ITU

DE

(dB

)

SIM 1 GAIN SIM 2 GAIN SIM 3 GAIN SIM 4 GAIN

図 4 オープンループのゲインと位相 図 5 クローズドループのゲイン

Appendix不連続なarctan関数を連続的なarccos関数に変換する方法

式10は角φが角θ 2と角θ 1の差であることを表していますここでθ 2=arc tan(ωT 2)θ 1=arc tan(ωT 1)ですまたωT 2はx 1ωT 1はy 1と表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

これは図6に示した幾何学的関係に相当しますθ 1とθ 2はそれぞれ図6(B)と図6(A)の三角形によって定義できます図6(C)は2つの三角形を重ねてθ 1とθ 2の差φを表したものです

次式のように余弦定理は三角形の内角θ(辺cの対角)と三角形の3辺abcの長さの関係を表します

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

図6(C)の角φに余弦定理を当てはめると次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03

Page 4: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-024

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

式1 5と式1 6にφ M _ N E Wを適用することによって2次の場合の解とは異なるR 0とC 0の値が得られます新しい値によりR 2とC 2によって生じる位相シフトを補償しますR 2とC 2が存在することでφ Mの最大許容値であるφ M _ M A Xにも影響が及びます新しいφ Mの最大値φ M_MAX_NEWは式22で表されます

1198771198771198771198770119860119860119860119860 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119860119860119860119860 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119861119861119861119861 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minuscos2(Φ119872119872119872119872)1198701198701198701198702 + 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119861119861119861119861 = minus 1198701198701198701198702 + 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 + 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119862119862119862119862 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702 minus 211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119862119862119862119862 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198771198771198771198770119863119863119863119863 = minus 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus 2119870119870119870119870119862119862119862119862119875119875119875119875 11987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 1198621198621198621198620119863119863119863119863 = minus 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 119862119862119862119862119875119875119875119875119870119870119870119870120596120596120596120596022

11987011987011987011987012059612059612059612059602(11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602minus 119870119870119870119870 cos(Φ119872119872119872119872))

1198701198701198701198702 minus 21198701198701198701198701198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 119888119888119888119888119888119888119888119888119888119888119888119888(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987311987311987311987312059612059612059612059602)2 (13)

b2 = a 2 + c 2 ndash (2ac)cos(β) (14)

1198771198771198771198770 = 12059612059612059612059601198701198701198701198701198701198701198701198701minus cos2(Φ119872119872119872119872)1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + (11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602)2 (15)

1198621198621198621198620 = 1198701198701198701198702minus211987011987011987011987011986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602 cos(Φ119872119872119872119872) + 1198621198621198621198621198751198751198751198751198701198701198701198701205961205961205961205960

22

11987011987011987011987012059612059612059612059602(119870119870119870119870cos(Φ119872119872119872119872) minus 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602) (16)

119870119870119870119870 cos(Φ119872119872119872119872) gt 1198621198621198621198621198751198751198751198751198731198731198731198731205961205961205961205960

2 (17)

Φ119872119872119872119872_119872119872119872119872119860119860119860119860119872119872119872119872 = 119886119886119886119886119886119886119886119886119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888119888 11986211986211986211986211987511987511987511987511987011987011987011987012059612059612059612059602

119870119870119870119870 radians (18)

1205961205961205961205960_119872119872119872119872119860119860119860119860119872119872119872119872 = 119870119870119870119870

119862119862119862119862119875119875119875119875 119870119870119870119870 radianss (19)

ΔΦ = ndasharctan(ω0R2C2) (20) ΦM_ MAX = ΦM ndash ΔΦ = ΦM + arctan(ω0R2C2) (21) ΦM_MAX_NEW = ΦM_MAX + ΔΦ = arccos(ω02NCPK) ndash arctan(ω0R2C2) (22)

まとめ

本稿ではR 0とC 0のみ値を変更可能な場合に2次 3次ループフィルタの設計パラメータとしてオープンループのユニティゲイン帯域幅ω 0と位相余裕φ Mを使用する方法を紹介しましたR 0とC 0を含む2次ループフィルタを使用したPLLのシミュレーションを行った結果H OLの理論的な周波数応答とそれによる位相余裕が完全に一致しましたこれによって本稿で示した式の検証を行うことができましたω 0とφ Mについては式19と式18によって2次ループフィルタにおけるそれぞれの上限値が得られます

R 0とC 0を決めるための最初の手順では2次のループフィルタを前提としましたこの手順では式21の位相余裕φ Mを調整して新しい値φ M_NEWに変更することで3次のループフィルタに拡張することができますこの結果式22のように新たな上限値φ M_MAX_NEWが得られます

式1 5と式1 6については2次ループフィルタに対応するシミュレーションによって検証を行いましたこれに対し3次ループフィルタ向けに拡張した設計手順の評価を行うにはループフィルタの応答H LF( s )をR 2とC 2を含めて次式のように再定義する必要があります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

このH L FをH O LとH C Lの式に代入することによってR 0とC 0を使用した3次ループフィルタのシミュレーションが可能になりますこのシミュレーションにより3次ループフィルタのH O Lによって得られる理論的な周波数応答と位相余裕をベースとした場合R 0とC 0の計算値に少しのズレが生じることがわかりますこれは主に 3次ループフィルタのH O LにおけるR 2とC 2の影響によるものです

R 0とC 0の式は2次のループフィルタを前提にしていることを思い出してくださいR 2とC 2は2次のループフィルタには存在しませんこのためループフィルタにR 2とC 2を含めるとそれらによって生じる位相シフトを補償するためにR 0とC 0を調整することになり誤差要因が作り出されますただしシミュレーションによればそうした誤差が生じてもR 0とC 0として調整後の値を使用しω 0を式1 9で導き出される最大値の1 4に制限することで許容可能な結果が得られることがわかります実際シミュレーションで得られたオープンループの帯域幅と位相余裕の値は3次のループフィルタを使用するPLLの設計値(ω 0φ M)からわずかにずれているだけです

シミュレーション結果 ここでは3次のループフィルタを使用するPLLについて4種のシミュレーションを実施した結果を示しますいずれのシミュレーションでもループフィルタの部品とPLLのパラメータについては以下に示す固定値を使用しました

CP = 1 5 nF

R2 = 165 kΩ

C2 = 337 pF

KD = 30 μA

KV = 3072 (122 88MHzにおいて25ppmV)

N = 100

シミュレーション1とシミュレーション2では計算値の上限である124 8Hz(ω 0_MAX)に近い値としてω 0=100Hzを使用しましたこの結果シミュレーション1とシミュレーション2では設計値(ω 0とφ M)から約1 0のずれが生じました一方シミュレーション3とシミュレーション4では上限の約1 4に相当するω 0=35Hzを使用しました予想どおりシミュレーション3とシミュレーション4では設計値(ω 0とφ M)に近い結果となり誤差はわずか1程度になりました

表1はシミュレーション結果をまとめたものです設計パラメータとして ω 0と φ Mの値が与えられたときの R 0 C 0ω 0_MAXφ M_MAXの計算値も含まれています本来比較のためにはシミュレーション1とシミュレーション3の両方でφ M=80degを使用するのが望ましいと言えますしかしシミュレーション1では式22のφ Mlt48degという制約を満たす必要があったためφ M=42degを使用しました

Analog Dialogue 49-02 5

表1 シミュレーション結果のまとめ

シミュレーション 1 シミュレーション 2 シミュレーション 3 シミュレーション 4

パラメータ ω0 ϕM ω0 ϕM ω0 ϕM ω0 ϕM

設計(値) 100 Hz 42deg 100 Hz 30deg 35 Hz 80deg 35 Hz 30deg

シミュレーション(値結果)

931 Hz 387deg 925 Hz 271deg 349 Hz 790deg 347 Hz 293deg

R0 9696k kΩ 1118 kΩ 2401 kΩ 1399 kΩ

C0 1485 nF 3670 nF 2255 nF 2124 nF1205380_MAX 1248 Hz 1248 Hz 1248 Hz 1248 Hz120543M_MAX 480deg 480deg 848deg 848deg

図 4と図 5にシミュレーションで得られたオープンループ クローズドループの応答を示しました

90

80

70

60

50

40

30

20

10

0

80

60

40

20

0

minus20

minus40

minus60

minus80

minus10001 1 10 100 1k 10k 100k 1M

FREQUENCY (Hz)

PH

AS

E (D

EG

RE

ES

)

MA

GN

ITU

DE

(dB

)

SIM 1 GAINSIM 1 PHASE

SIM 2 GAINSIM 2 PHASE

SIM 3 GAINSIM 3 PHASE

SIM 4 GAINSIM 4 PHASE

50

40

30

20

10

0

minus10

minus20

minus30

minus40

minus50

50

45

40

35

01 1 10 100 1k 10k

01 1 10 100 1k

FREQUENCY (Hz)

FREQUENCY (Hz)

PEAKINGM

AG

NIT

UD

E (d

B)

MA

GN

ITU

DE

(dB

)

SIM 1 GAIN SIM 2 GAIN SIM 3 GAIN SIM 4 GAIN

図 4 オープンループのゲインと位相 図 5 クローズドループのゲイン

Appendix不連続なarctan関数を連続的なarccos関数に変換する方法

式10は角φが角θ 2と角θ 1の差であることを表していますここでθ 2=arc tan(ωT 2)θ 1=arc tan(ωT 1)ですまたωT 2はx 1ωT 1はy 1と表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

これは図6に示した幾何学的関係に相当しますθ 1とθ 2はそれぞれ図6(B)と図6(A)の三角形によって定義できます図6(C)は2つの三角形を重ねてθ 1とθ 2の差φを表したものです

次式のように余弦定理は三角形の内角θ(辺cの対角)と三角形の3辺abcの長さの関係を表します

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

図6(C)の角φに余弦定理を当てはめると次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03

Page 5: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-02 5

表1 シミュレーション結果のまとめ

シミュレーション 1 シミュレーション 2 シミュレーション 3 シミュレーション 4

パラメータ ω0 ϕM ω0 ϕM ω0 ϕM ω0 ϕM

設計(値) 100 Hz 42deg 100 Hz 30deg 35 Hz 80deg 35 Hz 30deg

シミュレーション(値結果)

931 Hz 387deg 925 Hz 271deg 349 Hz 790deg 347 Hz 293deg

R0 9696k kΩ 1118 kΩ 2401 kΩ 1399 kΩ

C0 1485 nF 3670 nF 2255 nF 2124 nF1205380_MAX 1248 Hz 1248 Hz 1248 Hz 1248 Hz120543M_MAX 480deg 480deg 848deg 848deg

図 4と図 5にシミュレーションで得られたオープンループ クローズドループの応答を示しました

90

80

70

60

50

40

30

20

10

0

80

60

40

20

0

minus20

minus40

minus60

minus80

minus10001 1 10 100 1k 10k 100k 1M

FREQUENCY (Hz)

PH

AS

E (D

EG

RE

ES

)

MA

GN

ITU

DE

(dB

)

SIM 1 GAINSIM 1 PHASE

SIM 2 GAINSIM 2 PHASE

SIM 3 GAINSIM 3 PHASE

SIM 4 GAINSIM 4 PHASE

50

40

30

20

10

0

minus10

minus20

minus30

minus40

minus50

50

45

40

35

01 1 10 100 1k 10k

01 1 10 100 1k

FREQUENCY (Hz)

FREQUENCY (Hz)

PEAKINGM

AG

NIT

UD

E (d

B)

MA

GN

ITU

DE

(dB

)

SIM 1 GAIN SIM 2 GAIN SIM 3 GAIN SIM 4 GAIN

図 4 オープンループのゲインと位相 図 5 クローズドループのゲイン

Appendix不連続なarctan関数を連続的なarccos関数に変換する方法

式10は角φが角θ 2と角θ 1の差であることを表していますここでθ 2=arc tan(ωT 2)θ 1=arc tan(ωT 1)ですまたωT 2はx 1ωT 1はy 1と表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

これは図6に示した幾何学的関係に相当しますθ 1とθ 2はそれぞれ図6(B)と図6(A)の三角形によって定義できます図6(C)は2つの三角形を重ねてθ 1とθ 2の差φを表したものです

次式のように余弦定理は三角形の内角θ(辺cの対角)と三角形の3辺abcの長さの関係を表します

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

図6(C)の角φに余弦定理を当てはめると次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03

Page 6: PLL用ループ・フィルタの設計: 値が変更可能なR …...nl ile 42 1 PLL用ループ・フィルタの設計: 値が変更可能なRとCが1つずつの場合 著者:Ken

Analog Dialogue 49-026

著者

Ken Gent i le(kengent i leanalogcom)は1998年にシステム設計エンジニアとしてADIに入社し米ノースカロライナ州グリーンズボロでクロック 信号合成製品ラインを担当しましたダイレクトデジタルシンセサイザやアナログフィルタの設計MATLABによるGUIベースのエンジニアリングツールのコーディングが専門です10件の特許を保有するほかさまざまな専門誌 紙に14件ADIのアプリケーションノートとして十数件の論文を発表していますまたADIの「GTC(Genera l Technica l Conference)」では2001年2005年2006年に講演を行っています1996年にノースカロライナ州立大学を優秀な成績で卒業し電気工学の学士号を取得しています休日には読書や数学パズルのほか科学技術天体観測に関するあらゆることを楽しんでいます

Ken Gentile

φについて解くと次式のようになります

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

ここでx 1=ωT 2y 1=ωT 1なのでφは次式のようにT 1とT 2で表すことができます

119867119867119871119871119871119871(119904119904) =11990411990411987711987701198621198620 + 1

119904119904(11990411990421198771198770119877119877211986211986201198621198622119862119862119875119875 + 119904119904119877119877211986211986201198621198622 + 11990411990411987711987701198621198620119862119862119875119875 + 11990411990411987711987721198621198622119862119862119875119875 + 119904119904119877119877011986211986201198621198622 + 1198621198620 + 1198621198622 + 119862119862119875119875)

Φ = 1205791205792 minus 1205791205791 = 119886119886119886119886119886119886119886119886119886119886119886119886 (1199091199091) minus 119886119886119886119886119886119886119886119886119886119886119886119886 (1199101199101)

1198861198862 = 1198861198862 + 1198871198872 + 2119886119886119887119887 cos(120579120579) (θ is the angle opposite side c)

(119909119909 minus 119910119910)2 = (radic1 + 1199091199092)2+ (radic1 + 1199101199102)

2minus 2radic1 + 1199091199092radic1 + 1199101199102 cosΦ

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 119909119909119910119910radic(1 + 1199091199092)(1 + 1199101199102)

)

Φ = 119886119886119886119886119886119886119886119886119886119886119904119904 ( 1 + 120596120596211987911987911198791198792radic[1 + (1205961205961198791198792)2][1 + (1205961205961198791198791)2]

)

(A) (B)

(C)

2

2 1

1

φ

1

11

(1 + x2 )frac12

(1 + x2 )frac12

(1 + y2 )frac12

(1 +y2)frac12

xminus y

y

y

x

X

図 6 式 1 0の幾何学的表現

関連資料

Brennan Pau l V「Phase -Locked Loops P r inc ip l e s and P rac t i ce」McGraw-Hi l l 1996

K e e s e Wi l l i a m O A N - 1 0 0 1 N a t i o n a l S e m i c o n d u c t o r A p p l i c a t i o n N o t e「A n A n a l y s i s a n d P e r f o r m a n c e E v a l u a t i o n o f a P a s s i v e F i l t e r D e s i g n Te c h n i q u e f o r Charge Pump Phase -Locked Loops」May 1996

MT-086 Fundamen ta l s o f Phase Locked Loops (PLLs)

電圧制御発振器(VCO)内蔵PLL

この著者が執筆した ほかの技術文書

高調波スペクトル成分によるDAC伝達関数の再構築

Analog Dialogue 43-03