Sekvencijalne mreze

Embed Size (px)

Citation preview

  • 7/23/2019 Sekvencijalne mreze

    1/54

    UVOD U RAUNARSTVO- Sekvencijalne mree -

    Doc. Dr Suzana StojkoviKatedra za raunarstvo, Elektronski fakultet, Univerzitet u Niu

  • 7/23/2019 Sekvencijalne mreze

    2/54

    Sekvencijalne mree

    (konani automati)Prekidake mree kod kojih je stanje naizlazu odredjeno trenutnim vrednostimaulaznih signala i internim stanjem mree.

    Stanje mree zavisi od toga koji su signalidovodjeni na ulaz mree u ranijimvremenskim trenucima.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    3/54

    Realizacija sekvencijalnih mreaSekvencijalne mree se realizuju kao

    kompozicija logikih i memorijskihelemenata.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    4/54

    Blok ema sekvencijalne mree

    Sekvencijalne mreeUvod u raunarstvo 2010

    K kombinaciona mrea

    M skup memorijskih elemenata koji pamte stanje mree

  • 7/23/2019 Sekvencijalne mreze

    5/54

    Podela sekvencijalnih mreaPrema trenucima promene stanja

    Sinhrone (taktovane) Promene stanja automata je dozvoljena samo udiskretnim ekvidistantnim vremenskim trenucima

    Promenom stanja automata se upravlja taktnimsignalom

    Asinhrone Promene stanja automata se mogu obavljati u

    proizvoljnim vremenskim trenucima

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    6/54

    Podela sekvencijalnih mreaPrema nainu funkcionisanja

    Milijeve Vrednosti izlaznih signala zavise od trenutnih vrednostiulaznih signala i od trenutnog stanja automata

    Murove Vrednosti izlaznih signala zavise iskljuivo odtrenutnog stanja automata

    NAPOMENA: Za svaki Milijev konaniautomat se moe definisati ekvivalentanMurov konani automat i obrnuto.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    7/54

    Podela sekvencijalnih mrea

    Prema broju moguih novih stanjaDeterministike Pod dejstvom jedne kombinacije ulaznih signala, iz

    tekuig stanja qi automat moe prei u najvie jednonovo stanje.

    Nedeterministike Pod dejstvom jedne kombinacije ulaznih signala, iz

    tekuig stanja qi automat moe prei u vie razliitih

    stanja.Veu primenu imaju deterministiki konaniautomati.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    8/54

    Princip rada konanog automataU diskretnim vremenskim intervalima se na ulazautomata dovodi simbol iz konane azbuke ANa izlazu automata se formira simbol koji pripadakonanoj azbuci Z

    U svakom trenutku automat se nalazi u jednom odstanja koji pripada konanom skupu stanja QIzlazni signal zavisi od trenutnog stanja automata i

    trenutnog ulaznog simbolaStanje automata se, takodje, menja sa promenomulaznog signala

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    9/54

    Formalni opis konanog automataKonani automat se opisuje uredjenom petorkom:

    M=(A,Z, Q,fq,fz)gde je:

    A ulazna azbukaZ izlazna azbukaQ azbuka stanja

    fq funkcija stanja (fq :(A

    Q)

    Q)fz funkcija izlaza (fz:(AQ)Z)

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    10/54

    Naini predstavljanja konanih

    automata

    Pomou tablica prelaza i izlazaPomou grafovaPomou matrica

    Funkcijama prelaza i izlaza (tj. pomouprekidakih funkcija)

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    11/54

    Predstavljanje konanih automatapomou tablica prelaza i izlaza

  • 7/23/2019 Sekvencijalne mreze

    12/54

    Tablica prelazaTablica prelaza je tabela kod koje su:

    Oznake kolona - stanja konanog automataOznake vrsta simboli ulazne azbukeElement na poziciji (i,j) stanje u koje se prelazi izstanja koje odgovara kolonij kada se na ulazupojavi simbol koji odgovara vrstii.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    13/54

    Tablica izlazaTablica izlaza je tabela koja ima iste vrste i

    iste kolone kao tabela prelaza.Element tabele izlaza je simbol izlazne azbukekoji se generie na izlazu kada je automat ustanju koje odgovara koloni j a na ulazu sepojavi simbol koji odgovara vrsti i.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    14/54

    Tablica prelaza/izlazaUmesto tablicama prelaza i izlaza, konani

    automat se moe predstaviti jedinstvenomtablicom prelaza/izlaza iji su elementi parovinovo_stanje/izlazni_simbol.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    15/54

    Tablice prelaza i izlaza - primerA={a,b},Z={0,1}, Q={q1,q2,q3}

    Tablica prelaza: Tablica izlaza:

    Tablica prelaza/izlaza:

    q1 q2 q3

    a q2 q2 q1

    b q2 q3 q2

    q1 q2 q3

    a 0 1 0

    b 1 0 1

    q1 q2 q3a q2/0 q2/1 q1/0

    b q2/1 q3/0 q2/1

    Sekvencijalne mreeUvod u raunarstvo 2010

    Q:A:

    Q:A:

    Q:A:

  • 7/23/2019 Sekvencijalne mreze

    16/54

    Predstavljanje Murovog automataZa predstavljanje Murovog automata koristi se

    modifikovana tablica prelaza.Tablica prelaza kojoj je dodata vrsta u koju seupisuju izlazni simboli koji odgovaraju stanju.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    17/54

    Modifikovana tablica prelaza - primerA={a,b},Z={0,1}, Q={q1,q2,q3}

    Modifikovana tablica prelaza:

    Z: 0 1 1

    q1 q2 q3

    a q2 q3 q1

    b q3 q2 q3

    Sekvencijalne mreeUvod u raunarstvo 2010

    Q:A:

  • 7/23/2019 Sekvencijalne mreze

    18/54

    Predstavljanje konanih automatapomou grafova

  • 7/23/2019 Sekvencijalne mreze

    19/54

    Predstavljanje Milijevog

    automata pomou grafaMilijev automat se moe predstaviti

    orjentisanim grafom kod koga:vorovi odgovaraju stanjima konanog automata,Poteg izmedju vorova qi i qj postoji ukoliko u

    grafu postoji prelaz iz stanja qi u stanje qj,Oznaka potega predstavlja uniju parovaulazni_simbol/izlazni_simbol takvih daulazni_simbol

    prevodi automat iz stanja qi u stanje qj i pri tomese generie izlazni_signal.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    20/54

    Graf Milijevog automata - primer

    Sekvencijalne mreeUvod u raunarstvo 2010

    q1

    q2

    q3

    a/0

    b/1

    a/1

    b/1b/0

    a/0

    Tablica prelaza/izlaza: Graf:

    q1 q2 q3

    a q2/0 q2/1 q1/0

    b q2/1 q3/0 q2/1

  • 7/23/2019 Sekvencijalne mreze

    21/54

    Predstavljanje Murovog

    automata pomou grafaKod Murovog automata oznaka stanja je par

    stanje_automata/izlazni_signaldok se uoznakama grana nalaze samo ulazni simboli.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    22/54

    Graf Murovog automata - primer

    Sekvencijalne mreeUvod u raunarstvo 2010

    q1/0

    a

    b

    a

    a

    b

    b

    q2/1

    q3/1

    Modifikovana tablica Graf:

    prelaza/izlaza:Z: 0 1 1

    q1 q2 q3

    a q2 q3 q1

    b q3 q2 q3

  • 7/23/2019 Sekvencijalne mreze

    23/54

    Predstavljanje konanih automatapomou matrica

  • 7/23/2019 Sekvencijalne mreze

    24/54

    Matrica prelaza prve vrsteMatrica prelaza prve vrste (matrica veza) je

    matrica kod koje:Broj vrsta i kolona odgovaraju broju stanjakonanog automata

    Element na poziciji (i,j) predstavlja uniju ulaznihsimbola koji prevode automat iz stanja qi u stanjeqj.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    25/54

    Matrica prelaza/izlaza prve vrsteMatrica prelaza/izlaza prve vrste (M)je

    matrica kod koje:Broj vrsta i kolona odgovaraju broju stanjakonanog automata

    Element na poziciji (i,j) predstavlja uniju parovaulazni_simbol/izlazni_simbol takvih da ulazni_simbolprevodi automat iz stanja qi u stanje qj i pri tome

    se generie izlazni_simbol.

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    26/54

    Matrica prelaza i matrica

    prelaza/izlaza prve vrste - primer

    Sekvencijalne mreeUvod u raunarstvo 2010

    Graf: Matrica prelaza I vrste:

    Matrica prelaza/izlaza I vrste:

    +

    ba

    ba

    ba

    +

    1/0/0/1/

    1/0/

    baba

    baq1

    q2

    q3

    a/0

    b/1

    a/1

    b/1b/0

    a/0

  • 7/23/2019 Sekvencijalne mreze

    27/54

    Matrica prelaza druge vrsteZa svaki ulazni simbolal kreira se posebna matricaprelaza druge vrste (T(al ))

    To je matrica kod koje:Broj vrsta i kolona odgovaraju broju stanja konanogautomata

    Element na poziciji (i,j) u matrici prelaza druge vrsteulaznog simbolaaldefinisan je na sledei nain:

    Sekvencijalne mreeUvod u raunarstvo 2010

    =

    =

    =

    definisanonije),(

    ,),(,0

    ),(,1

    liq

    rliq

    jliq

    lij

    aqf

    jrqaqf

    qaqf

    t

  • 7/23/2019 Sekvencijalne mreze

    28/54

    Vektor stanja i vektor izlazaZa svako stanje automata qi definie se vektorstanja Q iji su elementi

    Za svaki izlazni simbol automatazi definie sevektor izlaza iji su elementi

    Sekvencijalne mreeUvod u raunarstvo 2010

    =

    =

    ji

    jis ij

    ,0

    ,1

    =

    =

    jijiwij

    ,0,1

  • 7/23/2019 Sekvencijalne mreze

    29/54

    Veze izmedju definicionih

    matrica konanog automataVeza izmedju matrice prelaza prve vrste i

    matrica prelaza druge vrste

    Vektor stanja nakon promene ulaznog signalamoe se odrediti po sledeoj relaciji:

    Sekvencijalne mreeUvod u raunarstvo 2010

    =

    =

    m

    i

    ii aa

    1

    )(TM

    ))(()1()( tatt TQQ =

  • 7/23/2019 Sekvencijalne mreze

    30/54

    Matrica izlaza druge vrsteZa Milijev automat:

    Za svaki izlazni simbolal kreira se posebna matrica

    izlaza druge vrste (Y(al ))To je matrica kod koje: Broj vrsta jednak broju stanja konanog automata

    Broj kolona jednak broju izlaznih simbola Element na poziciji (i,j) u matrici izlaza druge vtste

    ulaznog simbolaaldefinisan je na sledei nain:

    Sekvencijalne mreeUvod u raunarstvo 2010

    =

    =

    =

    definisanonije),(

    ,),(,0),(,1

    liz

    rliz

    jlizl

    ij

    aqf

    jrzaqfzaqf

    y

  • 7/23/2019 Sekvencijalne mreze

    31/54

    Matrica izlaza druge vrsteZa Murov automat:

    Postoji samo jedna matrica izlaza druge vrste (Y)

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    32/54

    Matrice prelaza i izlaza druge vrste - primer

    Sekvencijalne mreeUvod u raunarstvo 2010

    Graf: Matrice prelaza II vrste:

    Matrica izlaza II vrste:

    =

    =

    010100

    010

    )(001010

    010

    )( ba TT

    =

    =

    10

    01

    10

    )(

    01

    10

    01

    )( ba YY

    q1

    q2

    q3

    a/0

    b/1

    a/1

    b/1b/0

    a/0

    Od dji j i l i b l

  • 7/23/2019 Sekvencijalne mreze

    33/54

    Odredjivanje izlaznog simbola na

    osnovu matrice izlaza druge vrsteZa Milijev automat:

    Za Murov automat:

    Sekvencijalne mreeUvod u raunarstvo 2010

    ))(()1()( tatt YQZ =

    YQZ )1()( = tt

  • 7/23/2019 Sekvencijalne mreze

    34/54

    Odredjivanje izlaznog simbola - primer

    Odrediti stanje automata i izlazni sibol ako se na ulazkonanog automata koji je definisan datim grafom

    dovede re aba. Poetno stanje automata je q1.

    Sekvencijalne mreeUvod u raunarstvo 2010

    q1

    q2

    q3

    a/0

    b/1

    a/1

    b/1b/0

    a/0

  • 7/23/2019 Sekvencijalne mreze

    35/54

    Predstavljanje konanih automatapomou prekidakih funkcija

  • 7/23/2019 Sekvencijalne mreze

    36/54

    Preslikavanje funkcija prelaza i izlaza

    konanih automata na prekidake funkicijePoredjenje 2 definicije konanih automata:

    Simboli azbukeA se kodiraju binarnim reimaduine n.Simboli azbukeZse kodiraju binarnim reima

    duine m.Simboli azbuke Q se kodiraju binarnim reimaduine k.

    Funkcijamafq i fz odgovaraju sistemi prekidakihfunkcijafi g.

    Sekvencijalne mreeUvod u raunarstvo 2010

    Predstavljanje konanih automata

  • 7/23/2019 Sekvencijalne mreze

    37/54

    Predstavljanje konanih automata

    pomou prekidakih funkcijaRad konanog automata se opisuje:Funkcijama prelaza

    Funkcijama izlaza Za Milijev automat:

    Sekvencijalne mreeUvod u raunarstvo 2010

    ),,,,,(

    ),,,,,(

    11

    1111

    knmm

    kn

    QQxxfz

    QQxxfz

    KK

    M

    KK

    =

    =

    ),,,,,()1(

    ),,,,,()1(

    11

    1111

    knmm

    kn

    QQxxgtQ

    QQxxgtQ

    KK

    M

    KK

    =+

    =+

    Za Murov automat:

    ),,(

    ),,(

    1

    111

    kmm

    k

    QQfz

    QQfz

    K

    M

    K

    =

    =

    Predstavljanje konanih automata

  • 7/23/2019 Sekvencijalne mreze

    38/54

    Predstavljanje konanih automata

    pomou prekidakih funkcijaZa predstavljanje funkcija prelaza i izlaza i izlazakonanog automata se moe koristiti bilo koji nain

    za predstavljanje prekidakih funkcija:Tablica istinitosti,Vektor istinitosti,

    Skupovi decimalnih indeksa vektora,Decimalni indeks funkcije,Analitike forme: PKNF, PDNP, PPNF, KP

    Karnoove mape,...

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    39/54

    Memorijski elementi

  • 7/23/2019 Sekvencijalne mreze

    40/54

    Elementarni konani automati

    Elementarni konani automat (memorijski element)je Murov konani automat sa 2 stanja.

    Slui za pamenje jednog bita u raunaru.Nazivaju se jo i bistabilna elektronska kola ili flip-flopovi.

    Vrste flip-flopova:RS flip-flopD flip-flopT flip-flopJK flip-flop

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    41/54

    RS flip-flop

    Flip-flop sa 2 ulazna prikljuka:S (Set) postavlja stanje automata na 1.

    R (Reset) postavlja stanje automata na 0.Kada su i S i R ulaz postavljeni na 0, stanjeautomata ostaje nepromenjeno

    Nije dozvoljeno da S i R ulaz istovremeno budupostavljeni na 1

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    42/54

    Tablica istinitosti RS flip-flopaR S Q(t) Q(t+1)

    0 0 0 00 0 1 1

    0 1 0 1

    0 1 1 11 0 0 0

    1 0 1 0

    1 1 0 *1 1 1 *

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    43/54

    Realizacija RS flip-flopapomou NILI kola

    Grafiki simbolRS flip-flopa

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    44/54

    Taktovani RS flip-flop

    Taktovani RS flip-flop ima i trei ulaz (za signaltakta)

    Sekvencijalne mreeUvod u raunarstvo 2010

    fl fl

  • 7/23/2019 Sekvencijalne mreze

    45/54

    D flip-flopD flip-flop ima jedan informacioni ulazni prikljuak(D).D prikljuak postavlja stanje automata na 1.Kada je D ulaz postavljen na 0, stanje automataostaje nepromenjeno.

    Tablica istinitosti D flip-flopa:

    Sekvencijalne mreeUvod u raunarstvo 2010

    D Q(t) Q(t+1)

    0 0 0

    0 1 11 0 1

    1 1 1

    T fli fl

  • 7/23/2019 Sekvencijalne mreze

    46/54

    T flip-flopT flip-flop ima jedan informacioni ulazni prikljuak (T).Kada je ulazni T prikljuak postavi na 1 stanje automata sekomplementira.

    Kada je T ulaz postavljen na 0, stanje automata ostajenepromenjeno.Tablica istinitosti T flip-flopa:

    Sekvencijalne mreeUvod u raunarstvo 2010

    T Q(t) Q(t+1)

    0 0 0

    0 1 1

    1 0 1

    1 1 0

    JK fli fl

  • 7/23/2019 Sekvencijalne mreze

    47/54

    JK flip-flop

    Flip-flop sa 2 ulazna prikljuka: J i KJ postavlja stanje automata na 1 kada je K=0K=1 postavlja stanje automata na 0 kada je J=1

    Ako je J=K=1 komlementira se stanje automata

    Ako je J=K=0 zadrava se prethodno stanjeautomata

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    48/54

    Tablica istinitosti JK flip-flopaJ K Q(t) Q(t+1)

    0 0 0 00 0 1 1

    0 1 0 0

    0 1 1 01 0 0 1

    1 0 1 1

    1 1 0 11 1 1 0

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    49/54

    Standardni sekvencijalni moduli

    St d d i k ij l i d li

  • 7/23/2019 Sekvencijalne mreze

    50/54

    Standardni sekvencijalni moduli

    Najire koriene sekvencijalne prekidake mreesu registri.

    Registri slue za privremeno pamenje operanada irezultata operacija, za prijem signala sa ulaznihuredjaja ili za prenos signala na izlazne uredjaje, za

    brojanje dogadjaja,...Vrste registara:

    Registri sa paralelnim upisom i itanjem,

    Registri sa serijskim upisom i itanjem (pomerakiregistri),Brojaki registri

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    51/54

    Registri sa paralelnim upisom i itanjem

    Registri kod kojih se upis iitanje vri istovremeno na

    svim razredima.Za pamenje svake cifre ubinarnom broju koristi sepo jedan flip-flop.

    Funkcija prelaza jednograzreda data je u tabliciistinitosti.

    Ik bit koji se upisujeC taktni signal

    Sekvencijalne mreeUvod u raunarstvo 2010

    C Ik Qk(t) Qk(t+1)

    0 0 0 00 0 1 1

    0 1 0 0

    0 1 1 11 0 0 0

    1 0 1 0

    1 1 0 11 1 1 1

    Registri sa serijskim upisom i itanjem

  • 7/23/2019 Sekvencijalne mreze

    52/54

    Registri sa serijskim upisom i itanjem

    (Pomeraki registri)Re se u registar upisuje bit po bit.

    Zakon funkcionisanja pamerakog registrakoji vri pomeranje sadraja u desno:Q0=CI

    Qk(t+1)=CQk-1(t) (za k[1,n-1])gde je:

    I bit koji se upisuje

    C taktni signal

    Sekvencijalne mreeUvod u raunarstvo 2010

  • 7/23/2019 Sekvencijalne mreze

    53/54

    Brojai

    Registri kod iji se sadraj poveava ilismanjuje za 1 pri pojavi taktnog impulsa.Najee korieni brojai:

    Brojai po modulu 2n

    Dekadni brojai (brojai po modulu 10)

    Sekvencijalne mreeUvod u raunarstvo 2010

    Brojai po modulu 2n

  • 7/23/2019 Sekvencijalne mreze

    54/54

    Brojai po modulu 2

    Realizuju se kao n razredni registri.Funkcije prelaza brojaa po modulu 4:

    Sekvencijalne mreeUvod u raunarstvo 2010

    Q1(t) Q0(t) C Q1(t+1) Q0(t+1)0 0 0 0 0

    0 0 1 0 1

    0 1 0 0 10 1 1 1 0

    1 0 0 1 0

    1 0 1 1 11 1 0 1 1

    1 1 1 0 0