Transcript

1

1

PSpice Training Guide PSpice Training Guide Version PSD 14.2 โ€œ2002Version PSD 14.2 โ€œ2002

http://www.edastudio.co.krhttp://www.http://www.edastudioedastudio.co..co.krkr

์„œ์šธ์‹œ๊ฐ•๋‚จ๊ตฌ์‹ ์‚ฌ๋™๋‘์›๋นŒ๋”ฉ 5์ธตใˆœ์œ ์ง„๋ฐ์ดํƒ€ EDA์‚ฌ์—…๋ถ€๊ธฐ์ˆ ๋ฌธ์˜ Tel : 02-3015-3712 Mail : [email protected]

์„œ์šธ์‹œ๊ฐ•๋‚จ๊ตฌ์‹ ์‚ฌ๋™๋‘์›๋นŒ๋”ฉ 5์ธตใˆœ์œ ์ง„๋ฐ์ดํƒ€ EDA์‚ฌ์—…๋ถ€๊ธฐ์ˆ ๋ฌธ์˜ Tel : 02-3015-3712 Mail : [email protected]

2

Cadence PSD 14.2 / Orcad 9.2.3

Schematic CaptureCapture CIS ยท Orcad Capture

Digital/Analog/Mixed-Signal SimulationNC-Sim ยท PSpice ยท PSpice Advanced Analysis

RoutingSPECCTRA

PCB LayoutAllegro ยท Orcad Layout

Design SuitesPCB Design Expert ยท PCB Design Studio

Pcb System Design

์œ ์ง„๋ฐ์ดํƒ€ EDA์‚ฌ์—…๋ถ€ ์ด ๊ฐ• ๋ฒ”

2

3

๋ชฉ ์ฐจ

Capture ๊ตฌ์กฐ ๋ฐ ํ™•์žฅ์ž

Capture EntryDesign Structure(Plat Design, Hierarchical Design)Hierarchical DesignSchematic Capture EnvironmentProject Manager Tool

Capture ๊ตฌ์กฐ ๋ฐ ํ™•์žฅ์ž

Capture EntryDesign Structure(Plat Design, Hierarchical Design)Hierarchical DesignSchematic Capture EnvironmentProject Manager Tool

PSpice System BlockSchematic EntryPSpice & Capture libraryPart PropertiesCreate NetlistPart EditorPSpice Template ExampleDE Bias PointSmall Signal DC Gain (.TF)Simulation ErrorSimulation ProfileDC sweep Exam1PSpice Probe Window

PSpice System BlockSchematic EntryPSpice & Capture libraryPart PropertiesCreate NetlistPart EditorPSpice Template ExampleDE Bias PointSmall Signal DC Gain (.TF)Simulation ErrorSimulation ProfileDC sweep Exam1PSpice Probe Window

DC Sweep Exam2Common Emitter AmplifierAC AnalysisEvaluate MeasurementAC Analysis (Noise Analysis)UnitTime Domain / TransientSource Setting ( Analog / Digital )Parametric Analysis & SettingPerformance analysis & Goal FunctionParametric Analysis (Temperature Sweep)Parametric Analysis (์ „์› ๋ณ€๋™์— ์˜ํ•œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜)Monte Carlo / Worst-case Analysisํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ

Monte Carlo Exam1Passive Component ModelingMonte Carlo : Simulation SettingWorst Case : Simulation SettingMonte Carlo Exam1 (Simulation Result)ABM (Analog Behavior Modeling)Model EditorSubcircuit FormatVendor ModelExample Circuit

DC Sweep Exam2Common Emitter AmplifierAC AnalysisEvaluate MeasurementAC Analysis (Noise Analysis)UnitTime Domain / TransientSource Setting ( Analog / Digital )Parametric Analysis & SettingPerformance analysis & Goal FunctionParametric Analysis (Temperature Sweep)Parametric Analysis (์ „์› ๋ณ€๋™์— ์˜ํ•œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜)Monte Carlo / Worst-case Analysisํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ

Monte Carlo Exam1Passive Component ModelingMonte Carlo : Simulation SettingWorst Case : Simulation SettingMonte Carlo Exam1 (Simulation Result)ABM (Analog Behavior Modeling)Model EditorSubcircuit FormatVendor ModelExample Circuit

CaptureCaptureCapture

PSpicePSpicePSpice

4

Capture Entry

Analog or Mixed-Signal Circuit Wizard Analog ๋˜๋Š” Analog/Digital ํ˜ผํ•ฉํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์œ„ํ•œ PSpice Project option.

PC Board WizardPCB๋ฅผ ์„ค๊ณ„์šฉ OrCAD Layout ์„์‚ฌ์šฉํ•˜๊ธฐ ์œ„ํ•œ Project option.Programmable logic WizardCPLD๋‚˜ FPGA design, Digital simulate์šฉ OrCAD Express๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ์œ„ํ•œ Project option. SchematicSchematic ์ž‘์„ฑ์šฉ Capture๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ์œ„ํ•œ Project option.

Analog or Mixed-Signal Circuit Wizard Analog ๋˜๋Š” Analog/Digital ํ˜ผํ•ฉํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์œ„ํ•œ PSpice Project option.

PC Board WizardPCB๋ฅผ ์„ค๊ณ„์šฉ OrCAD Layout ์„์‚ฌ์šฉํ•˜๊ธฐ ์œ„ํ•œ Project option.Programmable logic WizardCPLD๋‚˜ FPGA design, Digital simulate์šฉ OrCAD Express๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ์œ„ํ•œ Project option. SchematicSchematic ์ž‘์„ฑ์šฉ Capture๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ์œ„ํ•œ Project option.

New Schematic Design

File menu โ†’ New โ†’ Project

Create based upon ~(Save As) : ๊ธฐ์กด

์ž‘์—… ํ”„๋กœ์ ํŠธ ๋ถˆ๋Ÿฌ์˜ค๊ธฐ

Create a Blank ~ : ์ƒˆ ํ”„๋กœ์ ํŠธ ์‹คํ–‰

Create based upon ~(Save As) : ๊ธฐ์กด

์ž‘์—… ํ”„๋กœ์ ํŠธ ๋ถˆ๋Ÿฌ์˜ค๊ธฐ

Create a Blank ~ : ์ƒˆ ํ”„๋กœ์ ํŠธ ์‹คํ–‰

3

5

Common File Extensions

Common File Extensions

.opj - OrCAD Project file

.dsn - Design file

.net - Simulation netlist

.als - alias file

.sim - Simulation profile

.dat - Probe data file

.out - Simulation output file

.olb - Symbol library

.lib - Simulation model library

.opj - OrCAD Project file

.dsn - Design file

.net - Simulation netlist

.als - alias file

.sim - Simulation profile

.dat - Probe data file

.out - Simulation output file

.olb - Symbol library

.lib - Simulation model library

์ž๋™ ์ƒ์„ฑ ํŒŒ์ผ์ž๋™ ์ƒ์„ฑ ํŒŒ์ผ

6

Capture Window

Schematic EditorSchematic Editor

Session LogSession Log

Project ManagerProject ManagerTool PaletteTool Palette

Tool barsTool barsManusManus

Menu / Window/session logMenu / Window/session log

4

7

Project Manager Window

Design Resource โ€“ Schematic ๊ด€๋ จ ๋ฐ์ดํ„ฐ ๊ด€๋ฆฌ

Schematic page(*.DSN), Design Cache,

Library(*.OLB) :Capture Symbol library

Outputs โ€“ Schematic ๊ด€๋ จ ๋ฐ์ดํ„ฐ ์ถœ๋ ฅ ๊ด€๋ฆฌ

Design Rule Check ( *. DRC ), Netlist file( *. NET )

Bill Of Material(BOM)

PSpice Resources โ€“ Simulation ๊ด€๋ จ ๋ฐ์ดํ„ฐ ๊ด€๋ฆฌ.

Simulation Setting(*.SIM), Stimulus File(*.STL)

Include File(*.INC)

Model Lib(*.LIB) : PSpice Model library

Design Resource โ€“ Schematic ๊ด€๋ จ ๋ฐ์ดํ„ฐ ๊ด€๋ฆฌ

Schematic page(*.DSN), Design Cache,

Library(*.OLB) :Capture Symbol library

Outputs โ€“ Schematic ๊ด€๋ จ ๋ฐ์ดํ„ฐ ์ถœ๋ ฅ ๊ด€๋ฆฌ

Design Rule Check ( *. DRC ), Netlist file( *. NET )

Bill Of Material(BOM)

PSpice Resources โ€“ Simulation ๊ด€๋ จ ๋ฐ์ดํ„ฐ ๊ด€๋ฆฌ.

Simulation Setting(*.SIM), Stimulus File(*.STL)

Include File(*.INC)

Model Lib(*.LIB) : PSpice Model library

Project Manager

8

Project ์‹คํ–‰ํ™˜๊ฒฝ์—์„œ ๊ด€๋ฆฌ์ž์™€ ๋„๋ฉด ํŽธ์ง‘๊ธฐ๋ฅผ ์„ ํƒ ์‹œ Menu Bar์˜ ์˜ต์…˜์ด ๋ฐ”๋€Œ๋Š” ๊ฒƒ์„ ๋ณผ ์ˆ˜ ์žˆ์„๊ฒƒ์ด๋‹ค. Menu Bar์—์„œ Schematic ๋ฐ Simulation ๊ด€๋ จ ๋ฉ”๋‰ด๋Š” Place ์™€ PSpice ๋ฉ”๋‰ด๋ฅผ ์‚ฌ์šฉํ•œ๋‹ค.

Project ์‹คํ–‰ํ™˜๊ฒฝ์—์„œ ๊ด€๋ฆฌ์ž์™€ ๋„๋ฉด ํŽธ์ง‘๊ธฐ๋ฅผ ์„ ํƒ ์‹œ Menu Bar์˜ ์˜ต์…˜์ด ๋ฐ”๋€Œ๋Š” ๊ฒƒ์„ ๋ณผ ์ˆ˜ ์žˆ์„๊ฒƒ์ด๋‹ค. Menu Bar์—์„œ Schematic ๋ฐ Simulation ๊ด€๋ จ ๋ฉ”๋‰ด๋Š” Place ์™€ PSpice ๋ฉ”๋‰ด๋ฅผ ์‚ฌ์šฉํ•œ๋‹ค.

Menus & Tool bar

Most Recently Used(MRU) 9.1ver : ํ•œ๋ฒˆ ๋ถˆ๋Ÿฌ์˜จ ๋ถ€ํ’ˆ์ด ์ €์žฅ ๋˜ ์žˆ์–ด Library์—์„œ ๋ถ€ํ’ˆ์„ ๋ถˆ๋Ÿฌ์˜ฌ ๊ฒฝ์šฐ ์œ ์šฉํ•˜๊ฒŒ์‚ฌ์šฉ๋œ๋‹ค. 9.2version ์ด์ƒ : Part ๋ช…์„ ์ง์ ‘ ์ž…๋ ฅํ•˜์—ฌ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ํ•  ์ˆ˜ ์žˆ๋„๋ก ๊ธฐ๋Šฅ ๊ฐ•ํ™”.

Most Recently Used(MRU) 9.1ver : ํ•œ๋ฒˆ ๋ถˆ๋Ÿฌ์˜จ ๋ถ€ํ’ˆ์ด ์ €์žฅ ๋˜ ์žˆ์–ด Library์—์„œ ๋ถ€ํ’ˆ์„ ๋ถˆ๋Ÿฌ์˜ฌ ๊ฒฝ์šฐ ์œ ์šฉํ•˜๊ฒŒ์‚ฌ์šฉ๋œ๋‹ค. 9.2version ์ด์ƒ : Part ๋ช…์„ ์ง์ ‘ ์ž…๋ ฅํ•˜์—ฌ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ํ•  ์ˆ˜ ์žˆ๋„๋ก ๊ธฐ๋Šฅ ๊ฐ•ํ™”.

Menu & Tool Bar

5

9

Menu / Place / Part

Place Part

ํ‚ค์›Œ๋“œ ์ž…๋ ฅํ•˜์—ฌ๋“ฑ๋ก๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ชฉ๋ก์„ ์•ŒํŒŒ๋ฒณ์ˆœ์„œ๋กœ ๋ชฉ๋กํ™”์‹œํ‚ด.

ํ‚ค์›Œ๋“œ ์ž…๋ ฅํ•˜์—ฌ๋“ฑ๋ก๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ชฉ๋ก์„ ์•ŒํŒŒ๋ฒณ์ˆœ์„œ๋กœ ๋ชฉ๋กํ™”์‹œํ‚ด.

ํ•˜๋‚˜์˜๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—ํฌํ•จ๋œ ๋ถ€ํ’ˆ ๋ฆฌ์ŠคํŠธ์•ŒํŒŒ๋ฒณ ์ˆœ์„œ๋กœ๋ณด์—ฌ์ค€๋‹ค

ํ•˜๋‚˜์˜๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—ํฌํ•จ๋œ ๋ถ€ํ’ˆ ๋ฆฌ์ŠคํŠธ์•ŒํŒŒ๋ฒณ ์ˆœ์„œ๋กœ๋ณด์—ฌ์ค€๋‹ค

์ถ”๊ฐ€๋œ library ๋ฆฌ์ŠคํŠธ

์ถ”๊ฐ€๋œ library ๋ฆฌ์ŠคํŠธ

* / ?์„ ์ด์šฉํ•˜์—ฌ๋ถ€ํ’ˆ ๊ฒ€์ƒ‰

* / ?์„ ์ด์šฉํ•˜์—ฌ๋ถ€ํ’ˆ ๊ฒ€์ƒ‰

์„ ํƒ ๋œ ๋ถ€ํ’ˆ ๋ฐฐ์น˜์„ ํƒ ๋œ ๋ถ€ํ’ˆ ๋ฐฐ์น˜

์„ ํƒ๋œ ๋ถ€ํ’ˆ์˜ Capture

Symbol Display

์„ ํƒ๋œ ๋ถ€ํ’ˆ์˜ Capture

Symbol Display์„ ํƒ๋œ ๋ถ€ํ’ˆ์˜

Package ์„ค๋ช…

์„ ํƒ๋œ ๋ถ€ํ’ˆ์˜

Package ์„ค๋ช… PSpice & Layout ์‹ฌ๋ณผ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์ž„์„์„ค๋ช….

PSpice & Layout ์‹ฌ๋ณผ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์ž„์„์„ค๋ช….

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ์ถ”๊ฐ€๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ์ถ”๊ฐ€

10

ํ•€๊ณผ ํ•€์„ ์ „๊ธฐ์ ์œผ๋กœ ์—ฐ๊ฒฐ

Place line, poliline ์ „๊ธฐ์ ์ธ ์†์„ฑ์ด ์—†๋Š” ๋ผ์ธ

Connect : ๋ถ€ํ’ˆ์˜ ํ•€ ๋ ์‚ฌ๊ฐํ˜•์˜ ํ˜•ํƒœ๊ฐ€ ์‚ฌ๋ผ์ง€๋ฉฐ

์—ฐ๊ฒฐ

์‚ฌ์„  ๋ฐฐ์„  ์‹œ Shift ํ‚ค์™€ ํ•จ๊ป˜ ๋งˆ์šฐ์Šค๋ฅผ ์ด์šฉ.

ํ•€๊ณผ ํ•€์„ ์ „๊ธฐ์ ์œผ๋กœ ์—ฐ๊ฒฐ

Place line, poliline ์ „๊ธฐ์ ์ธ ์†์„ฑ์ด ์—†๋Š” ๋ผ์ธ

Connect : ๋ถ€ํ’ˆ์˜ ํ•€ ๋ ์‚ฌ๊ฐํ˜•์˜ ํ˜•ํƒœ๊ฐ€ ์‚ฌ๋ผ์ง€๋ฉฐ

์—ฐ๊ฒฐ

์‚ฌ์„  ๋ฐฐ์„  ์‹œ Shift ํ‚ค์™€ ํ•จ๊ป˜ ๋งˆ์šฐ์Šค๋ฅผ ์ด์šฉ.

Menu / Place / Wire

Wire & Net alias

์™€์ด์–ด์— ์ด๋ฆ„์„ ๋ถ€์—ฌ. ํšŒ๋กœ ๋„๋ฉด์˜ ๊ฐ„๊ฒฐํ™” ๋ฐ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ ํŒŒํ˜• ๋ฐ ๊ธฐํƒ€ ์„ค์ •์˜ ์šฉ์ด์„ฑ.

๊ฐ™์€ ์ด๋ฆ„์œผ๋กœ ์กด์žฌ ํ•˜๋Š” ๊ฒฝ์šฐ ์„œ๋กœ ์—ฐ๊ฒฐ๋œ ๊ฒƒ์œผ๋กœ์ธ์‹ ๋˜์–ด ๋ถˆ ํ•„์š”ํ•œ ์™€์ด์–ด๋ฅผ ์ค„์—ฌ ์ฃผ๋ฉฐ, ๋””์ง€ํ„ธ ํšŒ๋กœ์„ค๊ณ„ ์‹œ ๋ฌธ๋ฒ•์ ์ธ ํ‘œํ˜„์œผ๋กœ ์‚ฌ์šฉ๋จ

์™€์ด์–ด์— ์ด๋ฆ„์„ ๋ถ€์—ฌ. ํšŒ๋กœ ๋„๋ฉด์˜ ๊ฐ„๊ฒฐํ™” ๋ฐ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ ํŒŒํ˜• ๋ฐ ๊ธฐํƒ€ ์„ค์ •์˜ ์šฉ์ด์„ฑ.

๊ฐ™์€ ์ด๋ฆ„์œผ๋กœ ์กด์žฌ ํ•˜๋Š” ๊ฒฝ์šฐ ์„œ๋กœ ์—ฐ๊ฒฐ๋œ ๊ฒƒ์œผ๋กœ์ธ์‹ ๋˜์–ด ๋ถˆ ํ•„์š”ํ•œ ์™€์ด์–ด๋ฅผ ์ค„์—ฌ ์ฃผ๋ฉฐ, ๋””์ง€ํ„ธ ํšŒ๋กœ์„ค๊ณ„ ์‹œ ๋ฌธ๋ฒ•์ ์ธ ํ‘œํ˜„์œผ๋กœ ์‚ฌ์šฉ๋จ

Bus์™€ Wire๋Š” Bus Entry๋กœ์„œ Connecting ํ•˜๋ฉฐ, Bus์˜

๋””์ง€ํ„ธ ์‹œ๊ทธ๋„ ํ‘œํ˜„ ๋ฐฉ๋ฒ• ์„ธ๊ฐ€์ง€

Bus์œ„์— Net alias ํ‘œํ˜„์œผ๋กœ [1..?] , [1:?] , [1-?]์™€

๊ฐ™์ด ํ‘œํ˜„ํ•จ.

Bus์™€ Wire๋Š” Bus Entry๋กœ์„œ Connecting ํ•˜๋ฉฐ, Bus์˜

๋””์ง€ํ„ธ ์‹œ๊ทธ๋„ ํ‘œํ˜„ ๋ฐฉ๋ฒ• ์„ธ๊ฐ€์ง€

Bus์œ„์— Net alias ํ‘œํ˜„์œผ๋กœ [1..?] , [1:?] , [1-?]์™€

๊ฐ™์ด ํ‘œํ˜„ํ•จ.

WireWire

Net AliasNet Alias

Bus EntryBus Entry

6

11

Part : ์‹ค์ œ ๋ฌผ๋ฆฌ์ ์œผ๋กœ ์กด์žฌํ•˜๋Š” ๋ถ€ํ’ˆ

Symbol : ํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์œ„ํ•ด Orcadํ”„๋กœ๊ทธ๋žจ์ด ์ œ๊ณตํ•˜๋Š” ๊ฐ€์ƒ์ ์ธ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ

Part : ์‹ค์ œ ๋ฌผ๋ฆฌ์ ์œผ๋กœ ์กด์žฌํ•˜๋Š” ๋ถ€ํ’ˆ

Symbol : ํšŒ๋กœ ์„ค๊ณ„๋ฅผ ์œ„ํ•ด Orcadํ”„๋กœ๊ทธ๋žจ์ด ์ œ๊ณตํ•˜๋Š” ๊ฐ€์ƒ์ ์ธ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ

Part & Symbol

PartPart

SymbolSymbol

Part & Symbol

12

PSpice Input ํŒŒ์ผ ์ œ์ž‘ ์‹œ Node name์€ ํ•ญ์ƒ ์–‘์˜ ์ž์—ฐ์ˆ˜์ด๋ฉฐ 0(Zero)๋ฅผ ํฌํ•จํ•œ๋‹ค. 0 ์ด์™ธ์˜ ๋…ธ๋“œ

๋ช…์€ ๋„๋ฉด ์ œ์ž‘ ์‹œ ์‚ฌ์šฉ๋˜๋Š” ๋ถ€ํ’ˆ๊ณผ์˜ ์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ๊ฐ€์ง€๋ฉฐ, 0(Zero)๋Š” ์ ‘์ง€๋ฅผ ๋‚˜ํƒ€๋ƒ„ 0(Zero)๋Š”Source.olb์— ํฌํ•จ ๋˜ ์žˆ์œผ๋ฉฐ, PSpice ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ํ™˜๊ฒฝ์—์„œ ์ ‘์ง€๋Š” ํ•ญ์ƒ 0/Source๋ฅผ ์‚ฌ์šฉํ•ด์•ผ

ํ•จ์„ ์œ ์˜ํ•จ.

PSpice Input ํŒŒ์ผ ์ œ์ž‘ ์‹œ Node name์€ ํ•ญ์ƒ ์–‘์˜ ์ž์—ฐ์ˆ˜์ด๋ฉฐ 0(Zero)๋ฅผ ํฌํ•จํ•œ๋‹ค. 0 ์ด์™ธ์˜ ๋…ธ๋“œ

๋ช…์€ ๋„๋ฉด ์ œ์ž‘ ์‹œ ์‚ฌ์šฉ๋˜๋Š” ๋ถ€ํ’ˆ๊ณผ์˜ ์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ๊ฐ€์ง€๋ฉฐ, 0(Zero)๋Š” ์ ‘์ง€๋ฅผ ๋‚˜ํƒ€๋ƒ„ 0(Zero)๋Š”Source.olb์— ํฌํ•จ ๋˜ ์žˆ์œผ๋ฉฐ, PSpice ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ํ™˜๊ฒฝ์—์„œ ์ ‘์ง€๋Š” ํ•ญ์ƒ 0/Source๋ฅผ ์‚ฌ์šฉํ•ด์•ผ

ํ•จ์„ ์œ ์˜ํ•จ.

Menu / Place / Ground, Power

VCC

PORTLEFT-L

PORTNO-L

VCC_WAVE

OFFPAGELEFT-L

OFFPAGELEFT-R

Power Symbol : ์ „์›์šฉ์˜ ์‹ฌ๋ฒŒ๋กœ ์‚ฌ์šฉ๋˜๋ฉฐ ์‹ค์ œ ์ „์›์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ์ด

์•„๋‹ˆ๋ฉฐ, ์‹ค์ œ ์ „์›๊ณผ ์—ฐ๊ฒฐํ•˜์—ฌ Net alias์™€ ๊ฐ™์€ ์˜๋ฏธ๋กœ ์‚ฌ์šฉ๋œ๋‹ค.

์ด ์‹ฌ๋ฒŒ์€ One sheet(์‚ฌ์šฉ๋˜๊ณ  ์žˆ๋Š” Page ๋‚ด๋ถ€์—์„œ๋งŒ ํšจ๋ ฅ์„ ๊ฐ€์ง.)

Port : ๋…๋ฆฝ์ ์œผ๋กœ ์‚ฌ์šฉ๋  ๋•Œ ๊ณ„์ธต ๊ตฌ์กฐ ๋ฐ ํ‰๋ฉด๊ตฌ๋„ ํ•  ๊ฒƒ ์—†์ด Globalํ•˜๊ฒŒ

์‚ฌ์šฉ๋œ๋‹ค. ํ”„๋กœ์ ํŠธ๋‚ด์˜ ๋ชจ๋“  Page์™€ ์—ฐ๊ฒฐํ•  ์ˆ˜ ์žˆ๋Š” ์šฉ๋„

Off-page Connector : ์ „ํ˜•์ ์œผ๋กœ Page์™€ Page๋ฅผ ์—ฐ๊ฒฐ ํ•  ๊ฒฝ์šฐ ์ฃผ๋กœ

์ด์šฉ๋œ๋‹ค.

์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๋ฒ”์œ„์— ์žˆ์–ด์„œ์˜ ๊ฐ Symbol์˜ ๊ด€๊ณ„

Power Symbol < Off-page connect < Port

One sheet Page โ€“ Page Hierarchical Structure

One sheet Flat Structure

One sheet

Power Symbol : ์ „์›์šฉ์˜ ์‹ฌ๋ฒŒ๋กœ ์‚ฌ์šฉ๋˜๋ฉฐ ์‹ค์ œ ์ „์›์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ์ด

์•„๋‹ˆ๋ฉฐ, ์‹ค์ œ ์ „์›๊ณผ ์—ฐ๊ฒฐํ•˜์—ฌ Net alias์™€ ๊ฐ™์€ ์˜๋ฏธ๋กœ ์‚ฌ์šฉ๋œ๋‹ค.

์ด ์‹ฌ๋ฒŒ์€ One sheet(์‚ฌ์šฉ๋˜๊ณ  ์žˆ๋Š” Page ๋‚ด๋ถ€์—์„œ๋งŒ ํšจ๋ ฅ์„ ๊ฐ€์ง.)

Port : ๋…๋ฆฝ์ ์œผ๋กœ ์‚ฌ์šฉ๋  ๋•Œ ๊ณ„์ธต ๊ตฌ์กฐ ๋ฐ ํ‰๋ฉด๊ตฌ๋„ ํ•  ๊ฒƒ ์—†์ด Globalํ•˜๊ฒŒ

์‚ฌ์šฉ๋œ๋‹ค. ํ”„๋กœ์ ํŠธ๋‚ด์˜ ๋ชจ๋“  Page์™€ ์—ฐ๊ฒฐํ•  ์ˆ˜ ์žˆ๋Š” ์šฉ๋„

Off-page Connector : ์ „ํ˜•์ ์œผ๋กœ Page์™€ Page๋ฅผ ์—ฐ๊ฒฐ ํ•  ๊ฒฝ์šฐ ์ฃผ๋กœ

์ด์šฉ๋œ๋‹ค.

์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๋ฒ”์œ„์— ์žˆ์–ด์„œ์˜ ๊ฐ Symbol์˜ ๊ด€๊ณ„

Power Symbol < Off-page connect < Port

One sheet Page โ€“ Page Hierarchical Structure

One sheet Flat Structure

One sheet

Power & Ground : Capture Symbol library

7

13

์ผ๋ฐ˜์ ์œผ๋กœ Off-page connector๋Š” ํ‰๋ฉด ๊ตฌ์กฐ ๋„๋ฉด์— ์ ์šฉ์‹œํ‚ค์ง€๋งŒ ๋‹จ์ผ ๊ตฌ์กฐ ๋„๋ฉด์—์„œ๋„ ์ ์šฉ์‹œํ‚ฌ์ˆ˜ ์žˆ๋‹ค.

์‚ฌ์šฉ ๋ฐฉ๋ฒ•์€ ๋™์ผํ•œ Schematic ํด๋” ๋‚ด์— ์žˆ๋Š” ์„œ๋กœ ๋‹ค๋ฅธ page ๋„๋ฉด์˜ off-page connector ๋“ค์˜์„œ๋กœ๊ฐ„์— ์ด๋ฆ„์ด Matching์„ ํ•„์ˆ˜๋กœ ํ•œ๋‹ค.

์ผ๋ฐ˜์ ์œผ๋กœ Off-page connector๋Š” ํ‰๋ฉด ๊ตฌ์กฐ ๋„๋ฉด์— ์ ์šฉ์‹œํ‚ค์ง€๋งŒ ๋‹จ์ผ ๊ตฌ์กฐ ๋„๋ฉด์—์„œ๋„ ์ ์šฉ์‹œํ‚ฌ์ˆ˜ ์žˆ๋‹ค.

์‚ฌ์šฉ ๋ฐฉ๋ฒ•์€ ๋™์ผํ•œ Schematic ํด๋” ๋‚ด์— ์žˆ๋Š” ์„œ๋กœ ๋‹ค๋ฅธ page ๋„๋ฉด์˜ off-page connector ๋“ค์˜์„œ๋กœ๊ฐ„์— ์ด๋ฆ„์ด Matching์„ ํ•„์ˆ˜๋กœ ํ•œ๋‹ค.

Design Structure Menu / Place / Off-page Connector

Flat Design Page1Page1 Page2Page2

Design Structure ; Plat Design

s[1..8]s1s2s3s4s5s6s7s8

F8DSTM1

SIGNAME = s1..s8FILENAME = file.txt

U6

DAC8break

DB713

DB612

DB511

DB410

DB39

DB28

DB17

DB06

AGND

5

OUT 3

REF 4

s1s2

s4s3

s5s6

s8s7

0

V26V

out

14

halfadd_B

HALFADD.SCH

X

Y CARRY

SUMX

Y

U1A

74LS32

1

23

SUM

CARRY_OUT

halfadd_A

HALFADD.SCH

X

Y CARRY

SUMCARRY_IN

์ด ๊ตฌ์กฐ๋Š” ํšŒ๋กœ๋„์˜ ์ž„์˜์˜ ์˜์—ญ์„ ๋‹ค๋ฅธ ๊ณ„์ธต์˜ ๋„๋ฉด์œผ๋กœ ๊ด€๋ฆฌํ•˜๋Š” ๊ฒฝ์šฐ ์‚ฌ์šฉ๋˜๋ฉฐ Project Manager ์ฐฝ์˜Schematic ํด๋” ์•„๋ž˜์— ๋˜ ๋‹ค๋ฅธ Schematic ํด๋”๊ฐ€ ์กด์žฌํ•˜๋Š” ํ˜•ํƒœ๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค. ๋˜ ํ•˜๋‚˜์˜ ๋ธ”๋ก์€ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์˜๊ด€์ ์—์„  ๋‹จ์ง€ ํ•˜๋‚˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ธ์‹๋˜๋ฉฐ, ๋ธ”๋ก์˜ ํ•€์€ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ ํ•€๊ณผ ๊ฐ™์€ ๊ด€์ ์—์„œ ํ•ด์„ ๋œ๋‹ค.

์ด ๊ตฌ์กฐ๋Š” ํšŒ๋กœ๋„์˜ ์ž„์˜์˜ ์˜์—ญ์„ ๋‹ค๋ฅธ ๊ณ„์ธต์˜ ๋„๋ฉด์œผ๋กœ ๊ด€๋ฆฌํ•˜๋Š” ๊ฒฝ์šฐ ์‚ฌ์šฉ๋˜๋ฉฐ Project Manager ์ฐฝ์˜Schematic ํด๋” ์•„๋ž˜์— ๋˜ ๋‹ค๋ฅธ Schematic ํด๋”๊ฐ€ ์กด์žฌํ•˜๋Š” ํ˜•ํƒœ๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค. ๋˜ ํ•˜๋‚˜์˜ ๋ธ”๋ก์€ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์˜๊ด€์ ์—์„  ๋‹จ์ง€ ํ•˜๋‚˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ธ์‹๋˜๋ฉฐ, ๋ธ”๋ก์˜ ํ•€์€ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ ํ•€๊ณผ ๊ฐ™์€ ๊ด€์ ์—์„œ ํ•ด์„ ๋œ๋‹ค.

Hierarchical Design

SUM

X_BAR

X

U3B74LS04

34

U2C74LS089

108

Y

U2A74LS081

23

U1B74LS32

4

56

U2B74LS08

4

56

CARRY

U3A74LS04

12

Design Structure ; Hierarchical Design

8

15

Path and filename : ๋งŒ์•ฝ implementation type์—์„œ schematic view์™ธ์˜ ํ•ญ๋ชฉ์„ ์„ ํƒ ์‹œ ๊ทธ source ํŒŒ์ผ์˜ ๊ฒฝ๋กœ๋ฅผ ์ง€์ •ํ•˜์—ฌ ์ฃผ๋ฉด ๋œ๋‹ค.

์ด ํ›„ Ok๋ฅผ ํด๋ฆญํ•˜๋ฉด +ํ˜•ํƒœ์˜ ๋งˆ์šฐ์Šค๋กœ ๋“œ๋ž˜๊ทธ๋ฅผ ํ•˜์—ฌ ๋ธ”๋ก์„ ์„ค์ • ํ•œ๋‹ค.

Path and filename : ๋งŒ์•ฝ implementation type์—์„œ schematic view์™ธ์˜ ํ•ญ๋ชฉ์„ ์„ ํƒ ์‹œ ๊ทธ source ํŒŒ์ผ์˜ ๊ฒฝ๋กœ๋ฅผ ์ง€์ •ํ•˜์—ฌ ์ฃผ๋ฉด ๋œ๋‹ค.

์ด ํ›„ Ok๋ฅผ ํด๋ฆญํ•˜๋ฉด +ํ˜•ํƒœ์˜ ๋งˆ์šฐ์Šค๋กœ ๋“œ๋ž˜๊ทธ๋ฅผ ํ•˜์—ฌ ๋ธ”๋ก์„ ์„ค์ • ํ•œ๋‹ค.

Hierarchical Block Create Menu / Place / Hierarchical block

Hierarchical Design

Part Reference Name ๋ถ€์—ฌํ•˜์—ฌ ๋™์ผName ์ด ์—†๋„๋ก ์ฃผ์˜

Part Reference Name ๋ถ€์—ฌํ•˜์—ฌ ๋™์ผName ์ด ์—†๋„๋ก ์ฃผ์˜

ํ•˜์œ„ Page์˜ ๋„๋ฉดType ๊ฒฐ์ •

ํ•˜์œ„ Page์˜ ๋„๋ฉดType ๊ฒฐ์ •

Implementation Name ์ง€์ • ์ถ”ํ›„ Project Manager์˜ ํด๋” ๊ตฌ์กฐ์—์„œSub ํด๋”์˜ ์ด๋ฆ„๊ณผ ๊ฐ™์Œ์„ํ™•์ธ ํ•œ๋‹ค.

์ด๊ฒƒ์€ ๋ธ”๋ก ์ƒ์„ฑ ํ›„ ํ•˜์œ„๋„๋ฉด์„ ์ƒ์„ฑ ํ•  ๋•Œ, ๋˜๋Š”๋„๋ฉด ์ž‘์—… ํ›„ ๋ฉ”์ธ ๋ธ”๋ก์—์ž‘์„ฑ๋œ ๋„๋ฉด์„ ์‚ฝ์ž… ์‹œImplementation name ์œผ๋กœ์—ฐ๊ฒฐ๋จ์„ ์•Œ ์ˆ˜ ์žˆ์Œ

Implementation Name ์ง€์ • ์ถ”ํ›„ Project Manager์˜ ํด๋” ๊ตฌ์กฐ์—์„œSub ํด๋”์˜ ์ด๋ฆ„๊ณผ ๊ฐ™์Œ์„ํ™•์ธ ํ•œ๋‹ค.

์ด๊ฒƒ์€ ๋ธ”๋ก ์ƒ์„ฑ ํ›„ ํ•˜์œ„๋„๋ฉด์„ ์ƒ์„ฑ ํ•  ๋•Œ, ๋˜๋Š”๋„๋ฉด ์ž‘์—… ํ›„ ๋ฉ”์ธ ๋ธ”๋ก์—์ž‘์„ฑ๋œ ๋„๋ฉด์„ ์‚ฝ์ž… ์‹œImplementation name ์œผ๋กœ์—ฐ๊ฒฐ๋จ์„ ์•Œ ์ˆ˜ ์žˆ์Œ

16

์‚ฌ์šฉ ์ˆœ์„œ

- ๋ธ”๋ก์„ ์„ ํƒ ํ›„ ์•„์ด์ฝ˜์„ ํด๋ฆญํ•˜๊ฑฐ๋‚˜ Place / Hierarchical Pin ์„ ํƒ

- ํ•€ ๋„ค์ž„๊ณผ ํƒ€์ž… ๊ฒฐ์ • ํ›„ ์—ฐ๊ฒฐ๋  ์„ ์ด Wire์ธ ๊ฒฝ์šฐ Scalar๋ฅผ , Bus์ธ ๊ฒฝ์šฐ Bus๋ฅผ ํด๋ฆญ

- ์ƒ์„ฑ๋œ ํ•€์€ Block์˜ ์™ธ๊ฐ์— ๋ฐฐ์น˜ ํ•˜๋ฉฐ, ์œ„์˜ ์ˆœ์„œ๋ฅผ ๋ฐ˜๋ณต ํ•˜์—ฌ ์ œ์ž‘ ํ•œ๋‹ค.

- ํ•˜๋‚˜์˜ ๋ธ”๋ก์— ์—ฐ๊ฒฐ๋  ํ•€์„ ๋ฐฐ์น˜ ์™„๋ฃŒ.

* ์—ฌ๊ธฐ์„œ Bus์˜ ํ•€ ๋„ค์ž„์€ ํ•ญ์ƒ โ€œ name[1..2] : 2bit์ธ ๊ฒฝ์šฐ ๋˜๋Š” โ€“ ๋˜๋Š” : ์„ ์‚ฌ์šฉ.

* ๋„๋ฉด ๋‚ด์—์„œ ๋ธ”๋ก์„ ๋ณต์‚ฌํ•˜๋Š” ๊ฒฝ์šฐ ์ผ๋ฐ˜ ๋ถ€ํ’ˆ๊ณผ๋Š” ๋‹ฌ๋ฆฌ Reference name์€ ํ•˜๋‚˜์”ฉ ์ฆ๊ฐ€ ๋œ๋‹ค.

์‚ฌ์šฉ ์ˆœ์„œ

- ๋ธ”๋ก์„ ์„ ํƒ ํ›„ ์•„์ด์ฝ˜์„ ํด๋ฆญํ•˜๊ฑฐ๋‚˜ Place / Hierarchical Pin ์„ ํƒ

- ํ•€ ๋„ค์ž„๊ณผ ํƒ€์ž… ๊ฒฐ์ • ํ›„ ์—ฐ๊ฒฐ๋  ์„ ์ด Wire์ธ ๊ฒฝ์šฐ Scalar๋ฅผ , Bus์ธ ๊ฒฝ์šฐ Bus๋ฅผ ํด๋ฆญ

- ์ƒ์„ฑ๋œ ํ•€์€ Block์˜ ์™ธ๊ฐ์— ๋ฐฐ์น˜ ํ•˜๋ฉฐ, ์œ„์˜ ์ˆœ์„œ๋ฅผ ๋ฐ˜๋ณต ํ•˜์—ฌ ์ œ์ž‘ ํ•œ๋‹ค.

- ํ•˜๋‚˜์˜ ๋ธ”๋ก์— ์—ฐ๊ฒฐ๋  ํ•€์„ ๋ฐฐ์น˜ ์™„๋ฃŒ.

* ์—ฌ๊ธฐ์„œ Bus์˜ ํ•€ ๋„ค์ž„์€ ํ•ญ์ƒ โ€œ name[1..2] : 2bit์ธ ๊ฒฝ์šฐ ๋˜๋Š” โ€“ ๋˜๋Š” : ์„ ์‚ฌ์šฉ.

* ๋„๋ฉด ๋‚ด์—์„œ ๋ธ”๋ก์„ ๋ณต์‚ฌํ•˜๋Š” ๊ฒฝ์šฐ ์ผ๋ฐ˜ ๋ถ€ํ’ˆ๊ณผ๋Š” ๋‹ฌ๋ฆฌ Reference name์€ ํ•˜๋‚˜์”ฉ ์ฆ๊ฐ€ ๋œ๋‹ค.

Hierarchical Pin Menu / Place / Hierarchical pin

Hierarchical Pin

ํ™œ์„ฑํ™” ํ•œ ํ›„

Place / Hierarchical Pin

๋ฐ ๋‹จ์ถ• ์•„์ด์ฝ˜ ์„ ํƒ

ํ™œ์„ฑํ™” ํ•œ ํ›„

Place / Hierarchical Pin

๋ฐ ๋‹จ์ถ• ์•„์ด์ฝ˜ ์„ ํƒ

9

17

Hierarchical Pin

Hierarchy Structure

๊ด€๋ฆฌ์ž ํด๋”๊ตฌ์กฐ ํ™•์ธ๊ด€๋ฆฌ์ž ํด๋”๊ตฌ์กฐ ํ™•์ธ

18

Sample Circuit <Full Adder>

Main Schematic

10

19

Sample Circuit <Half Adder>

Sub Schematic

20

R1

10

R2

10

R3

10

R4

10

R5

10

R6

20

R7

30

R8

40

V110V

0

VCC

VEE

VEE

VEE

VCC

VCC

V2100V

0

N1 N1

N2 N2

Offpage1 Offpage1

Port1Port1

0

Page 1

ExamplePage ๋‚ด์—์„œ๋งŒ ์ ์šฉPage ๋‚ด์—์„œ๋งŒ ์ ์šฉ

Page โ€“ Page์˜ ๋ฒ”์œ„๊นŒ์ง€ ์ ์šฉPage โ€“ Page์˜ ๋ฒ”์œ„๊นŒ์ง€ ์ ์šฉ

Global ConnectorGlobal Connector

Capture Symbol : Capsym.olb

Ground, Power,

Off-page connector

Hierarchical Port

์ „๊ธฐ์  ํŠน์„ฑ์ด ์—†์œผ๋ฉฐ, ๋‹จ์ง€ ์—ฐ๊ฒฐ

์ปค๋„ฅํ„ฐ๋กœ๋งŒ ์‚ฌ์šฉ๋œ๋‹ค.

Capture Symbol : Capsym.olb

Ground, Power,

Off-page connector

Hierarchical Port

์ „๊ธฐ์  ํŠน์„ฑ์ด ์—†์œผ๋ฉฐ, ๋‹จ์ง€ ์—ฐ๊ฒฐ

์ปค๋„ฅํ„ฐ๋กœ๋งŒ ์‚ฌ์šฉ๋œ๋‹ค.

Power & Ground & Flat & Hierarchical : Exam

11

21

- Color / Print : ๋„๋ฉด์—์„œ ์‚ฌ์šฉ๋œ ๋ชจ๋“  ๊ฐ์ฒด๋“ค์€ ์ฒดํฌ๋ฐ•์Šค์—์„œ์˜ ์„ค์ •์— ๊ด€๊ณ„์—†์ด ํ™”๋ฉด์— ์–ธ์ œ๋‚˜ ๋‚˜ํƒ€๋‚œ๋‹ค. ์ž‘์—… ๋„๋ฉด์˜ ๋ฐ”ํƒ•์ƒ‰, ๋ถ€ํ’ˆ, ์„  ๋“ฑ์˜ ์ƒ‰ ์ง€์ •์„ ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•œ๋‹ค.

- Grid Display : Schematic editor์™€ Part ๋ฐ Symbol edit์˜ ๊ฒฉ์ž์— ๋Œ€ํ•œ ์„ค์ •์„ ํ•œ๋‹ค.Point snap to grid : ๊ฒฉ์ž๋ฅผ ๊ธฐ์ค€์œผ๋กœ ๋˜๋Š” ๋ฌด์‹œํ•˜๊ณ  ๋„๋ฉด์˜ ๊ฐ์ฒด๋ฅผ ์ด๋™ ์‹œ ์‚ฌ์šฉ

ํšŒ๋กœ ์ž‘์„ฑ ์‹œ : Snap to grid๋ฅผ ์ฒดํฌ Part and SymbolํŽธ์ง‘ ์‹œ : ์ œ๊ฑฐ ํ›„ ์‚ฌ์šฉ

- Pan and Zoom : Schematic ์ž‘์—… ์‹œ ๋งˆ์šฐ์Šค์˜ ์ด๋™์— ๋”ฐ๋ฅธ ๋„๋ฉด ์ด๋™ ๋ฐ ์†๋„ ๋˜ํ•œ zoom factor๋Š” ํ™•๋Œ€์—

๊ด€ํ•œ ์คŒ ๊ณ„์ˆ˜๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฒƒ์œผ๋กœ default 2 ๊ฐ’์„ ๊ฐ–๋Š”๋‹ค. - Select : ์ปค์„œ๋กœ ์ž„์˜์˜ ์˜์—ญ์„ ํ• ๋‹นํ•  ๋•Œ์— ๋””๋ฐ”์ด์Šค์˜ ์ „์ฒด๊ฐ€ ๋ธ”๋ก์œผ๋กœ ์ง€์ •๋˜์–ด์•ผ ํ™œ์„ฑํ™”๊ฐ€ ๋˜๋Š”์ง€,

์•„๋‹ˆ๋ฉด ๋””๋ฐ”์ด์Šค์˜ ์–ด๋Š ์ผ๋ถ€๋ถ„๋งŒ ๋ธ”๋ก์œผ๋กœ ์ง€์ •๋˜์–ด๋„ ํ™œ์„ฑํ™”๋˜๋Š”์ง€์˜ ์—ฌ๋ถ€๋ฅผ ์„ค์ •ํ•ด ์ฃผ๋Š”

Option- Miscellaneous

Auto Reference โ€ฆ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ํ•  ๋•Œ Reference๋ฅผ ์ž๋™์ ์œผ๋กœ ๋ถ€์—ฌ ํ•  ๊ฒƒ์ธ๊ฐ€ ์„ค์ •

Intertool Communication โ€ฆ Capture์—์„œ์˜ ์ •๋ณด๋ฅผ Layout, PSpice, ๋“ฑ์„ ์‚ฌ์šฉํ•˜์—ฌ ๋””์ง€์ธ์˜

์ •๋ณด๋ฅผ ๋‚˜ํƒ€๋‚˜๊ฒŒ ํ•˜๊ณ  ์ƒํ˜ธ ํ˜ธํ™˜ํ•˜๊ฒŒ ํ•˜๋Š” ๊ธฐ๋Šฅ. Capture๋งŒ ์ด์šฉ ์‹œ ์ด ๊ธฐ๋Šฅ์ด ์„ ํƒ๋˜์ง€

์•Š์œผ๋ฉด ๋ณด๋‹ค ๋น ๋ฅธ ์ž‘์—…์„ ํ•  ์ˆ˜ ์žˆ๋‹ค.

- Color / Print : ๋„๋ฉด์—์„œ ์‚ฌ์šฉ๋œ ๋ชจ๋“  ๊ฐ์ฒด๋“ค์€ ์ฒดํฌ๋ฐ•์Šค์—์„œ์˜ ์„ค์ •์— ๊ด€๊ณ„์—†์ด ํ™”๋ฉด์— ์–ธ์ œ๋‚˜ ๋‚˜ํƒ€๋‚œ๋‹ค. ์ž‘์—… ๋„๋ฉด์˜ ๋ฐ”ํƒ•์ƒ‰, ๋ถ€ํ’ˆ, ์„  ๋“ฑ์˜ ์ƒ‰ ์ง€์ •์„ ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•œ๋‹ค.

- Grid Display : Schematic editor์™€ Part ๋ฐ Symbol edit์˜ ๊ฒฉ์ž์— ๋Œ€ํ•œ ์„ค์ •์„ ํ•œ๋‹ค.Point snap to grid : ๊ฒฉ์ž๋ฅผ ๊ธฐ์ค€์œผ๋กœ ๋˜๋Š” ๋ฌด์‹œํ•˜๊ณ  ๋„๋ฉด์˜ ๊ฐ์ฒด๋ฅผ ์ด๋™ ์‹œ ์‚ฌ์šฉ

ํšŒ๋กœ ์ž‘์„ฑ ์‹œ : Snap to grid๋ฅผ ์ฒดํฌ Part and SymbolํŽธ์ง‘ ์‹œ : ์ œ๊ฑฐ ํ›„ ์‚ฌ์šฉ

- Pan and Zoom : Schematic ์ž‘์—… ์‹œ ๋งˆ์šฐ์Šค์˜ ์ด๋™์— ๋”ฐ๋ฅธ ๋„๋ฉด ์ด๋™ ๋ฐ ์†๋„ ๋˜ํ•œ zoom factor๋Š” ํ™•๋Œ€์—

๊ด€ํ•œ ์คŒ ๊ณ„์ˆ˜๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฒƒ์œผ๋กœ default 2 ๊ฐ’์„ ๊ฐ–๋Š”๋‹ค. - Select : ์ปค์„œ๋กœ ์ž„์˜์˜ ์˜์—ญ์„ ํ• ๋‹นํ•  ๋•Œ์— ๋””๋ฐ”์ด์Šค์˜ ์ „์ฒด๊ฐ€ ๋ธ”๋ก์œผ๋กœ ์ง€์ •๋˜์–ด์•ผ ํ™œ์„ฑํ™”๊ฐ€ ๋˜๋Š”์ง€,

์•„๋‹ˆ๋ฉด ๋””๋ฐ”์ด์Šค์˜ ์–ด๋Š ์ผ๋ถ€๋ถ„๋งŒ ๋ธ”๋ก์œผ๋กœ ์ง€์ •๋˜์–ด๋„ ํ™œ์„ฑํ™”๋˜๋Š”์ง€์˜ ์—ฌ๋ถ€๋ฅผ ์„ค์ •ํ•ด ์ฃผ๋Š”

Option- Miscellaneous

Auto Reference โ€ฆ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ํ•  ๋•Œ Reference๋ฅผ ์ž๋™์ ์œผ๋กœ ๋ถ€์—ฌ ํ•  ๊ฒƒ์ธ๊ฐ€ ์„ค์ •

Intertool Communication โ€ฆ Capture์—์„œ์˜ ์ •๋ณด๋ฅผ Layout, PSpice, ๋“ฑ์„ ์‚ฌ์šฉํ•˜์—ฌ ๋””์ง€์ธ์˜

์ •๋ณด๋ฅผ ๋‚˜ํƒ€๋‚˜๊ฒŒ ํ•˜๊ณ  ์ƒํ˜ธ ํ˜ธํ™˜ํ•˜๊ฒŒ ํ•˜๋Š” ๊ธฐ๋Šฅ. Capture๋งŒ ์ด์šฉ ์‹œ ์ด ๊ธฐ๋Šฅ์ด ์„ ํƒ๋˜์ง€

์•Š์œผ๋ฉด ๋ณด๋‹ค ๋น ๋ฅธ ์ž‘์—…์„ ํ•  ์ˆ˜ ์žˆ๋‹ค.

Menu / Option / [Preferences]Preferences

Schematic Capture Environment

22

Color / Print

Print ์—ฌ๋ถ€๋ฅผ ๊ฒฐ์ •.Print ์—ฌ๋ถ€๋ฅผ ๊ฒฐ์ •.

Schematic Properties Color ๊ฒฐ์ •.Schematic Properties Color ๊ฒฐ์ •.

Schematic Capture Environment

12

23

Grid Display

Grid์˜ ํ‘œ์‹œ ์—ฌ๋ถ€, Grid ํƒ€์ž…์„ ๊ฒฐ์ • ์ ์šฉ ์˜์—ญ์„ ๊ฒฐ์ •Grid์˜ ํ‘œ์‹œ ์—ฌ๋ถ€, Grid ํƒ€์ž…์„ ๊ฒฐ์ • ์ ์šฉ ์˜์—ญ์„ ๊ฒฐ์ •

Displayed ํ•ญ๋ชฉ : schematic ํ˜น์€ part edit window์—์„œ์˜ grid๋ฅผ ํ‘œ์‹œ.

Grid style : grid๋ฅผ ๋ผ์ธ์œผ๋กœ ํ•˜๋Š”์ง€ ํ˜น์€ ๋„ํŠธ(์ )๋กœ ํ•˜๋Š”์ง€ ๊ฒฐ์ •.

Pointer snap to grid : ๊ฒฉ์ž์— ๊ทœ๊ฒฉ์— ๋˜๋Š” ๊ทœ๊ฒฉ์— ์ œํ•œ ์—†์ด Schematic์˜ ๋ชจ๋“  ๊ฐ์ฒด๋ฅผ ์ด๋™ ๋ฐฐ์น˜ ์„ค์ •

Displayed ํ•ญ๋ชฉ : schematic ํ˜น์€ part edit window์—์„œ์˜ grid๋ฅผ ํ‘œ์‹œ.

Grid style : grid๋ฅผ ๋ผ์ธ์œผ๋กœ ํ•˜๋Š”์ง€ ํ˜น์€ ๋„ํŠธ(์ )๋กœ ํ•˜๋Š”์ง€ ๊ฒฐ์ •.

Pointer snap to gridPointer snap to grid : ๊ฒฉ์ž์— ๊ทœ๊ฒฉ์— ๋˜๋Š” ๊ทœ๊ฒฉ์— ์ œํ•œ ์—†์ด Schematic์˜ ๋ชจ๋“  ๊ฐ์ฒด๋ฅผ ์ด๋™ ๋ฐฐ์น˜ ์„ค์ •

Schematic Capture Environment

Capture Tool BarCapture Tool Bar

24

Pan & Zoom / Select / Text Editor

Schematic Capture Environment

ํ™•๋Œ€์™€ ์ถ•์†Œ ์‹œ ๋ฐฐ์œจ ๊ฐ’ ์กฐ์ •

๊ฐ์ฒด๋ฅผ ์„ ํƒํ•˜์—ฌ drag ์‹œ์ž๋™ ์Šคํฌ๋กค์˜ ๋ฐฐ์œจ ๊ฐ’ ์กฐ์ •

ํ™•๋Œ€์™€ ์ถ•์†Œ ์‹œ ๋ฐฐ์œจ ๊ฐ’ ์กฐ์ •

๊ฐ์ฒด๋ฅผ ์„ ํƒํ•˜์—ฌ drag ์‹œ์ž๋™ ์Šคํฌ๋กค์˜ ๋ฐฐ์œจ ๊ฐ’ ์กฐ์ •

Schematic Editor์—์„œ ๊ฐ์ฒด๋ฅผ dragํ•˜์—ฌ ์„ ํƒ ์‹œ drag๋ฒ”์œ„์˜ ๊ฐ์ฒด๊ฐ€๋ฐ•์Šค ์•ˆ์— ๋ชจ๋‘ ๋“ค์–ด๊ฐ€๋Š”์ง€์˜ ์—ฌ๋ถ€ ๊ฒฐ์ •

์—ฌ๋Ÿฌ ๊ฐœ์˜ ๊ฐ์ฒด๋ฅผ ์„ ํƒํ•˜์—ฌ drag์‹œ ๊ฐ์ฒด์˜ ์™ธ๊ฐ์„ ์„ ์ •ํ™•ํ•˜๊ฒŒํ‘œ์‹œํ•˜์—ฌ ์ฃผ๋Š” ๊ฐœ์ˆ˜๋ฅผ ์ •์˜

Schematic Editor์—์„œ ๊ฐ์ฒด๋ฅผ dragํ•˜์—ฌ ์„ ํƒ ์‹œ drag๋ฒ”์œ„์˜ ๊ฐ์ฒด๊ฐ€๋ฐ•์Šค ์•ˆ์— ๋ชจ๋‘ ๋“ค์–ด๊ฐ€๋Š”์ง€์˜ ์—ฌ๋ถ€ ๊ฒฐ์ •

์—ฌ๋Ÿฌ ๊ฐœ์˜ ๊ฐ์ฒด๋ฅผ ์„ ํƒํ•˜์—ฌ drag์‹œ ๊ฐ์ฒด์˜ ์™ธ๊ฐ์„ ์„ ์ •ํ™•ํ•˜๊ฒŒํ‘œ์‹œํ•˜์—ฌ ์ฃผ๋Š” ๊ฐœ์ˆ˜๋ฅผ ์ •์˜

๋„๋ฉด ์ƒ์—์„œ ์‚ฌ์šฉ ํ•  font ์„ค์ •๋„๋ฉด ์ƒ์—์„œ ์‚ฌ์šฉ ํ•  font ์„ค์ •

13

25

Miscellaneous

๋ฐ•์Šค๋‚˜ ์›์„ ๊ทธ๋ฆด ์‹œ์—fill(์ฑ„์šฐ๊ธฐ) style๋ฅผ ์„ค์ •.

Session log ์ฐฝ์—์„œ ์‚ฌ์šฉ ๋ font๋ฅผ ์ง€์ •.

Text Rendering - Text ๋ฅผ ํ‘œํ˜„์‹œ ์™ธ๊ฐ์„  ๋งŒ์„ ํ‘œ์‹œ ํ• ๊ฒƒ์ธ์ง€์˜ ์—ฌ๋ถ€.

Auto Recovery - ์„ค์ • ์‹œ๊ฐ„์—๋งž์ถ”์–ด ์ž๋™ ์ €์žฅ ๊ธฐ๋Šฅ.

๋ฐ•์Šค๋‚˜ ์›์„ ๊ทธ๋ฆด ์‹œ์—fill(์ฑ„์šฐ๊ธฐ) style๋ฅผ ์„ค์ •.

Session log ์ฐฝ์—์„œ ์‚ฌ์šฉ ๋ font๋ฅผ ์ง€์ •.

Text Rendering - Text ๋ฅผ ํ‘œํ˜„์‹œ ์™ธ๊ฐ์„  ๋งŒ์„ ํ‘œ์‹œ ํ• ๊ฒƒ์ธ์ง€์˜ ์—ฌ๋ถ€.

Auto Recovery - ์„ค์ • ์‹œ๊ฐ„์—๋งž์ถ”์–ด ์ž๋™ ์ €์žฅ ๊ธฐ๋Šฅ.

Auto Recovery โ€“ ์ž๋™์ €์žฅ ์‹œ๊ฐ„์„ ์„ค์ •ํ•œ๋‹ค.

Auto Reference โ€“ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ ์‹œ ์ž๋™์œผ๋กœ Reference name์ด ์ƒ์„ฑ.

Intertool Communication โ€“ Layout๊ณผ์˜ cross probing๊ธฐ๋Šฅ ์ œ๊ณต.

* PSpice ์ž‘์—… ์‹œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์ง„ํ–‰ ํ•˜๊ฒŒ ๋˜๋ฉด Capture์—์„œ ์ž‘์—…๋œ ๋ชจ๋“  ์„ค์ • ํ•ญ๋ชฉ ๋ฐ ๋„๋ฉด ์ž์ฒด์˜ํŒŒ์ผ์€ ์ž๋™ ์ €์žฅ๋œ๋‹ค. ์ฆ‰, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜๊ฒŒ ๋˜๋ฉด, ๊ธฐ์กด์˜ ์„ค์ • ํ•ญ๋ชฉ์— ์˜ํ•œ ๋ชจ๋“  ๋ฐ์ดํ„ฐ๋Š”Update๋˜๊ฒŒ ๋œ๋‹ค. ์›๋ณธ์˜ ํŒŒ์ผ์€ ๋ณด์ „์ด ๋˜์ง€ ์•Š์€ ๊ฒƒ์— ์œ ์˜.

Auto Recovery โ€“ ์ž๋™์ €์žฅ ์‹œ๊ฐ„์„ ์„ค์ •ํ•œ๋‹ค.

Auto Reference โ€“ ๋ถ€ํ’ˆ์„ ๋ฐฐ์น˜ ์‹œ ์ž๋™์œผ๋กœ Reference name์ด ์ƒ์„ฑ.

Intertool Communication โ€“ Layout๊ณผ์˜ cross probing๊ธฐ๋Šฅ ์ œ๊ณต.

* PSpice ์ž‘์—… ์‹œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์ง„ํ–‰ ํ•˜๊ฒŒ ๋˜๋ฉด Capture์—์„œ ์ž‘์—…๋œ ๋ชจ๋“  ์„ค์ • ํ•ญ๋ชฉ ๋ฐ ๋„๋ฉด ์ž์ฒด์˜ํŒŒ์ผ์€ ์ž๋™ ์ €์žฅ๋œ๋‹ค. ์ฆ‰, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜๊ฒŒ ๋˜๋ฉด, ๊ธฐ์กด์˜ ์„ค์ • ํ•ญ๋ชฉ์— ์˜ํ•œ ๋ชจ๋“  ๋ฐ์ดํ„ฐ๋Š”Update๋˜๊ฒŒ ๋œ๋‹ค. ์›๋ณธ์˜ ํŒŒ์ผ์€ ๋ณด์ „์ด ๋˜์ง€ ์•Š์€ ๊ฒƒ์— ์œ ์˜.

Schematic Capture Environment

26

- Font : Alias, Bookmark, Pin Name, Pin Number๋“ฑ์˜ Text์— ๊ด€ํ•œ ์‚ฌํ•ญ์„ ์ง€์ •ํ•œ๋‹ค

- Title Block : ์ด ์˜ต์…˜์€ ๋””์ž์ธ ๋„๋ฉด์„ ์™„์„ฑํ•œ ํ›„ ์‚ฌ์šฉ์ž๊ฐ€ ๋„๋ฉด์˜ ๋ชฉ์ ๊ณผ ์ž‘์—…๋œ ๋‚ด์šฉ ๊ทธ๋ฆฌ๊ณ  ๋””์ž์ด๋„ˆ์˜

์ด๋ฆ„๊ณผ ๊ณ„์ธต๊ตฌ์กฐ ์‹œ์— ๊ฐœ๋ณ„๋„๋ฉด์˜ ๋ฒˆํ˜ธ ๋“ฑ์˜ ์ „๊ธฐ์ ์ธ ์ •๋ณด๋ฅผ ๊ฐ€์ง€์ง€ ์•Š์€ ๋ถ€์ˆ˜์ ์ธ ๋‚ด์šฉ์„

ํ‘œํ˜„ํ•˜๊ณ ์ž ํ•˜๋Š” ๊ฒฝ์šฐ์— ์‚ฌ์šฉ๋˜๋Š” ์˜ต์…˜์ด๋‹ค.

-Page size : ์ƒˆ๋กญ๊ฒŒ ์ ์šฉ๋  ํ”„๋กœ์ ํŠธ์˜ Page์„ค์ •์„ Inch ๋ฐ Millimeter๋‹จ์œ„๋กœ ์„ค์ •ํ•˜๋ฉฐ, ์ž‘์—… ์ค‘์˜

Schematic Page๋Š” Option / Schematic page properties์—์„œ ์„ค์ • ๊ฐ€๋Šฅํ•˜๋‹ค.-Grid Reference : ๋„๋ฉด ๊ฐ€์žฅ์ž๋ฆฌ์— ์žˆ๋Š” ๊ฒฉ์ž๋ฅผ ์„ค์ • Grid Reference์„ ์•ŒํŒŒ๋ฒณ์ด๋‚˜ ์ˆซ์ž๋กœ ์„ค์ •ํ•˜๊ณ  ์…€์˜

๋„“์ด๋ฅผ ์กฐ์ •ํ•  ์ˆ˜ ๋„ ์žˆ๋‹ค.์ด ์„ค์ •์„ ํ”„๋ฆฐํŠธ ํ•  ๋•Œ ๋‚˜ํƒ€๋‚˜๊ฒŒ ํ•˜๊ฑฐ๋‚˜ ๋‚˜ํƒ€๋‚˜์ง€ ์•Š๊ฒŒ ์ง€์ •ํ•  ์ˆ˜ ๋„ ์žˆ๋‹ค.

-Hierarchy : ์ด ๊ธฐ๋Šฅ์€ ์•ž์œผ๋กœ์˜ ๋””์ž์ธํ•  ๊ณ„์ธต๊ตฌ์กฐ ๋””์ž์ธ ๋•Œ์— ๊ณ„์ธต๊ตฌ์กฐ ๋ธ”๋ก๊ณผ ๋ถ€ํ’ˆ๋“ค์— ๋Œ€ํ•œ ์šฐ์„ 

์ˆœ์œ„์— ๊ด€ํ•œ ๋‚ด์šฉ์„ ์„ค๋ช…ํ•œ๋‹ค. -SDT Compatibility : Orcad Window์—์„œ Dos๋กœ ๋˜๋Š” Dos์—์„œ Window๋กœ ๋ณ€ํ™˜ ์‹œ ์†์„ฑ Table์˜

Matchingํ•ญ๋ชฉ ์ง€์ •.3

- Font : Alias, Bookmark, Pin Name, Pin Number๋“ฑ์˜ Text์— ๊ด€ํ•œ ์‚ฌํ•ญ์„ ์ง€์ •ํ•œ๋‹ค

- Title Block : ์ด ์˜ต์…˜์€ ๋””์ž์ธ ๋„๋ฉด์„ ์™„์„ฑํ•œ ํ›„ ์‚ฌ์šฉ์ž๊ฐ€ ๋„๋ฉด์˜ ๋ชฉ์ ๊ณผ ์ž‘์—…๋œ ๋‚ด์šฉ ๊ทธ๋ฆฌ๊ณ  ๋””์ž์ด๋„ˆ์˜

์ด๋ฆ„๊ณผ ๊ณ„์ธต๊ตฌ์กฐ ์‹œ์— ๊ฐœ๋ณ„๋„๋ฉด์˜ ๋ฒˆํ˜ธ ๋“ฑ์˜ ์ „๊ธฐ์ ์ธ ์ •๋ณด๋ฅผ ๊ฐ€์ง€์ง€ ์•Š์€ ๋ถ€์ˆ˜์ ์ธ ๋‚ด์šฉ์„

ํ‘œํ˜„ํ•˜๊ณ ์ž ํ•˜๋Š” ๊ฒฝ์šฐ์— ์‚ฌ์šฉ๋˜๋Š” ์˜ต์…˜์ด๋‹ค.

--Page sizePage size : ์ƒˆ๋กญ๊ฒŒ ์ ์šฉ๋  ํ”„๋กœ์ ํŠธ์˜ Page์„ค์ •์„ Inch ๋ฐ Millimeter๋‹จ์œ„๋กœ ์„ค์ •ํ•˜๋ฉฐ, ์ž‘์—… ์ค‘์˜

Schematic Page๋Š” Option / Schematic page properties์—์„œ ์„ค์ • ๊ฐ€๋Šฅํ•˜๋‹ค.-Grid Reference : ๋„๋ฉด ๊ฐ€์žฅ์ž๋ฆฌ์— ์žˆ๋Š” ๊ฒฉ์ž๋ฅผ ์„ค์ • Grid Reference์„ ์•ŒํŒŒ๋ฒณ์ด๋‚˜ ์ˆซ์ž๋กœ ์„ค์ •ํ•˜๊ณ  ์…€์˜

๋„“์ด๋ฅผ ์กฐ์ •ํ•  ์ˆ˜ ๋„ ์žˆ๋‹ค.์ด ์„ค์ •์„ ํ”„๋ฆฐํŠธ ํ•  ๋•Œ ๋‚˜ํƒ€๋‚˜๊ฒŒ ํ•˜๊ฑฐ๋‚˜ ๋‚˜ํƒ€๋‚˜์ง€ ์•Š๊ฒŒ ์ง€์ •ํ•  ์ˆ˜ ๋„ ์žˆ๋‹ค.

-Hierarchy : ์ด ๊ธฐ๋Šฅ์€ ์•ž์œผ๋กœ์˜ ๋””์ž์ธํ•  ๊ณ„์ธต๊ตฌ์กฐ ๋””์ž์ธ ๋•Œ์— ๊ณ„์ธต๊ตฌ์กฐ ๋ธ”๋ก๊ณผ ๋ถ€ํ’ˆ๋“ค์— ๋Œ€ํ•œ ์šฐ์„ 

์ˆœ์œ„์— ๊ด€ํ•œ ๋‚ด์šฉ์„ ์„ค๋ช…ํ•œ๋‹ค. -SDT Compatibility : Orcad Window์—์„œ Dos๋กœ ๋˜๋Š” Dos์—์„œ Window๋กœ ๋ณ€ํ™˜ ์‹œ ์†์„ฑ Table์˜

Matchingํ•ญ๋ชฉ ์ง€์ •.3

Design Template <Menu / Option / Design Template>

Design Template๋Š” ์ƒˆ๋กœ ์‹œ์ž‘๋˜๋Š” ํ”„๋กœ์ ํŠธ์— ์˜๊ตฌ์ ์œผ๋กœ ์ ์šฉ๋œ๋‹ค. Design Template๋Š” ์ƒˆ๋กœ ์‹œ์ž‘๋˜๋Š” ํ”„๋กœ์ ํŠธ์— ์˜๊ตฌ์ ์œผ๋กœ ์ ์šฉ๋œ๋‹ค.

Schematic Capture Environment

14

27

<Menu / Option / Design Template>Font ์ƒˆ๋กœ์šด ํ”„๋กœ์ ํŠธ๋ฅผ ์‹œ์ž‘ํ•  ๋•Œ์˜ Capture ํ™˜๊ฒฝ ์„ค์ •์ƒˆ๋กœ์šด ํ”„๋กœ์ ํŠธ๋ฅผ ์‹œ์ž‘ํ•  ๋•Œ์˜ Capture ํ™˜๊ฒฝ ์„ค์ •

Schematic Capture Environment

Schematic ๋„๋ฉด์—์„œ ์‚ฌ์šฉํ•  text์˜ type์— ๋”ฐ๋ผ font๋ฅผ ์„ค์ •.Schematic ๋„๋ฉด์—์„œ ์‚ฌ์šฉํ•  text์˜ type์— ๋”ฐ๋ผ font๋ฅผ ์„ค์ •.

์ƒˆ๋กœ์šด ํ”„๋กœ์ ํŠธ ๋ฅผ ์‹œ์ž‘ํ•  ๋•Œ์— ์ง€์ •๋œ title block์ด ๋ฐฐ์น˜๋˜๊ณ ์ด ์ฐฝ์—์„œ ๊ธฐ์ž…ํ•œ ๊ฐ’์ด ๊ทธ Title block์— ์ž๋™์œผ๋กœ ๊ธฐ์ž…๋œ๋‹ค.

์ƒˆ๋กœ์šด ํ”„๋กœ์ ํŠธ ๋ฅผ ์‹œ์ž‘ํ•  ๋•Œ์— ์ง€์ •๋œ title block์ด ๋ฐฐ์น˜๋˜๊ณ ์ด ์ฐฝ์—์„œ ๊ธฐ์ž…ํ•œ ๊ฐ’์ด ๊ทธ Title block์— ์ž๋™์œผ๋กœ ๊ธฐ์ž…๋œ๋‹ค.

Schematic Editor์˜ Page Size ์„ค์ • Inch ๋ฐ Millimeter ๋‹จ์œ„Schematic Editor์˜ Page Size ์„ค์ • Inch ๋ฐ Millimeter ๋‹จ์œ„

28

<Menu / Option / Schematic Page Properties / Page Size>Schematic Page Properties

ํ˜„์žฌ ์ž‘์—… ์ค‘์ธ Capture Schematic ํ™˜๊ฒฝ์˜ Page size, Grid Reference, Miscellaneous ์„ค์ •ํ˜„์žฌ ์ž‘์—… ์ค‘์ธ Capture Schematic ํ™˜๊ฒฝ์˜ Page size, Grid Reference, Miscellaneous ์„ค์ •

Schematic Capture Environment

15

29

Manager Tool

Capture์—์„œ ๋ถ€ํ’ˆ์˜ ๋ฐฐ์น˜์™€ ๋ฐฐ์„ ์ด ์™„๋ฃŒ๋˜๋ฉด ๋””์ž์ธ ๊ณผ์ •์„ ๋งˆ์น˜๋„๋ก ๋„์™€ ์ฃผ๊ธฐ ์œ„ํ•œ ๊ด€๋ฆฌ์ž Tool Menu ๋ช…๋ น์„ ์‚ฌ์šฉํ•œ๋‹ค. ํ•˜์ง€๋งŒ ํ”„๋กœ์ ํŠธ์— ํฌํ•จ๋˜์ง€ ์•Š์€ ๊ณ„์ธต๊ตฌ์กฐ์˜ ๋„๋ฉด์—๋Š” ์ด ๋ช…๋ น์ด๋ฌด์˜๋ฏธํ•˜๋‹ค.

์•„๋ž˜์—๋Š” Capture Design์˜ ์ „์ฒด์ ์ธ ํ๋ฆ„๋„๋ฅผ ์„ค๋ช…ํ•œ๋‹ค. ๋””์ž์ธ ๋งค๋‹ˆ์ € ์ฐฝ์—์„œ ๋””์ž์ธ ํŒŒ์ผ, ์Šคํ‚ค๋งคํŠธ ํด๋”, ๊ทธ๋ฆฌ๊ณ  ์Šคํ‚ค๋งคํŠธ ํŽ˜์ด์ง€ ์ค‘, ํ•˜๋‚˜๋ฅผ ์„ ํƒํ•˜๊ฒŒ ๋˜๋ฉด ์œ ํ‹ธ๋ฆฌํ‹ฐ ์•„์ด์ฝ˜๋“ค์ด ํ™œ์„ฑํ™”๋˜๊ณ  ์‹คํ–‰ ๊ฐ€๋Šฅํ•œ ์ƒํƒœ๋กœ ๋œ๋‹ค.

Capture์—์„œ ๋ถ€ํ’ˆ์˜ ๋ฐฐ์น˜์™€ ๋ฐฐ์„ ์ด ์™„๋ฃŒ๋˜๋ฉด ๋””์ž์ธ ๊ณผ์ •์„ ๋งˆ์น˜๋„๋ก ๋„์™€ ์ฃผ๊ธฐ ์œ„ํ•œ ๊ด€๋ฆฌ์ž Tool Menu ๋ช…๋ น์„ ์‚ฌ์šฉํ•œ๋‹ค. ํ•˜์ง€๋งŒ ํ”„๋กœ์ ํŠธ์— ํฌํ•จ๋˜์ง€ ์•Š์€ ๊ณ„์ธต๊ตฌ์กฐ์˜ ๋„๋ฉด์—๋Š” ์ด ๋ช…๋ น์ด๋ฌด์˜๋ฏธํ•˜๋‹ค.

์•„๋ž˜์—๋Š” Capture Design์˜ ์ „์ฒด์ ์ธ ํ๋ฆ„๋„๋ฅผ ์„ค๋ช…ํ•œ๋‹ค. ๋””์ž์ธ ๋งค๋‹ˆ์ € ์ฐฝ์—์„œ ๋””์ž์ธ ํŒŒ์ผ, ์Šคํ‚ค๋งคํŠธ ํด๋”, ๊ทธ๋ฆฌ๊ณ  ์Šคํ‚ค๋งคํŠธ ํŽ˜์ด์ง€ ์ค‘, ํ•˜๋‚˜๋ฅผ ์„ ํƒํ•˜๊ฒŒ ๋˜๋ฉด ์œ ํ‹ธ๋ฆฌํ‹ฐ ์•„์ด์ฝ˜๋“ค์ด ํ™œ์„ฑํ™”๋˜๊ณ  ์‹คํ–‰ ๊ฐ€๋Šฅํ•œ ์ƒํƒœ๋กœ ๋œ๋‹ค.

์ขŒ์ธก์˜๊ทธ๋ฆผ๊ณผ๊ฐ™์ด๊ด€๋ฆฌ์ž๋ชฉ๋ก์ดํ™œ์„ฑํ™”๋œ

์ƒํƒœ์—์„œ๋งŒ Tool์ด๋ž€๋ฉ”๋‰ด๊ฐ€ํ™œ์„ฑํ™”๋œ๋‹ค.

Project Manager Tool

Schematic Editor ํ™œ์„ฑํ™” ์‹œ

Schematic Editor ํ™œ์„ฑํ™” ์‹œ

30

Annotate <Project Manager ํ™œ์„ฑํ™” / Tool / Annotate>

ํšŒ๋กœ๋„ ์ƒ์˜ ๋ถ€ํ’ˆ์— ์ผ๋ จ๋ฒˆํ˜ธ๋ฅผ ์ž๋™์œผ๋กœ ๋ถ€์—ฌํ•˜๋Š”๊ธฐ๋Šฅ์œผ๋กœ ์ผ๋ฐ˜ ๋ถ€ํ’ˆ์€ U1, U2 โ€ฆ, ์ €ํ•ญ์€ R1, R2โ€ฆ์บํŒจ์‹œํ„ฐ๋Š” C1, C2โ€ฆ ๋“ฑ์˜ ๋ฒˆํ˜ธ๋ฅผ ๋ถ€์—ฌํ•˜๊ณ  ๊ทธ ๋ฒˆํ˜ธ๋ฅผPart Reference Name์ด๋ผ ๋ถ€๋ฅธ๋‹ค.

ํšŒ๋กœ๋„ ์ƒ์˜ ๋ถ€ํ’ˆ์— ์ผ๋ จ๋ฒˆํ˜ธ๋ฅผ ์ž๋™์œผ๋กœ ๋ถ€์—ฌํ•˜๋Š”๊ธฐ๋Šฅ์œผ๋กœ ์ผ๋ฐ˜ ๋ถ€ํ’ˆ์€ U1, U2 โ€ฆ, ์ €ํ•ญ์€ R1, R2โ€ฆ์บํŒจ์‹œํ„ฐ๋Š” C1, C2โ€ฆ ๋“ฑ์˜ ๋ฒˆํ˜ธ๋ฅผ ๋ถ€์—ฌํ•˜๊ณ  ๊ทธ ๋ฒˆํ˜ธ๋ฅผPart Reference Name์ด๋ผ ๋ถ€๋ฅธ๋‹ค.

์ด๋Ÿฐ Reference Name์„ ๊ธฐ์ž…ํ•˜๋Š” ๊ณผ์ •์„ Annotating, ๋˜๋Š” Update Part Reference๋ผ ํ•˜๋Š”๋ฐ Option menu โ†’Preference โ†’ Miscellaneous์— โ€˜Auto Reference' ๋ผ๋Š” ์„ ํƒ ํ•ญ๋ชฉ์ด ์žˆ์–ด์„œ ๋ถ€ํ’ˆ์„ ๋ถˆ๋Ÿฌ์˜ฌ ๋•Œ ์ž๋™์œผ๋กœReference Name์ด ๊ฐฑ์‹ ๋˜์ง€๋งŒ ๋ถ€ํ’ˆ์„ Copyํ•  ๊ฒฝ์šฐ๋Š” ๊ฐฑ์‹ ๋˜์ง€ ์•Š์•„์„œ, ๋ถ€ํ’ˆ์„ ์„ ํƒํ•˜๊ณ  ์˜ค๋ฅธ์ชฝ ๋งˆ์šฐ์Šค๋ฅผ๋ˆ„๋ฅด๋ฉด ๋‚˜ํƒ€๋‚˜๋Š” Edit property ์ฐฝ์—์„œ ๊ฐœ๋ณ„์ ์œผ๋กœ ๋ฐ”๊ฟ”์ฃผ๊ธฐ๋„ ํ•œ๋‹ค.

Annotate ์ฐฝ์—๋Š” ์ „์ฒด ๋ถ€ํ’ˆ์˜ ๋ช…์นญ์„ Reset (U?, R?...) ํ•˜๊ฑฐ๋‚˜ ํ•œ๊บผ๋ฒˆ์— ๊ฐฑ์‹  ์‹œํ‚ค๋Š” ๋“ฑ์˜ ์„ ํƒ ํ•ญ๋ชฉ์ด ์žˆ๋‹ค.

์ด๋Ÿฐ Reference Name์„ ๊ธฐ์ž…ํ•˜๋Š” ๊ณผ์ •์„ Annotating, ๋˜๋Š” Update Part Reference๋ผ ํ•˜๋Š”๋ฐ Option menu โ†’Preference โ†’ Miscellaneous์— โ€˜Auto Reference' ๋ผ๋Š” ์„ ํƒ ํ•ญ๋ชฉ์ด ์žˆ์–ด์„œ ๋ถ€ํ’ˆ์„ ๋ถˆ๋Ÿฌ์˜ฌ ๋•Œ ์ž๋™์œผ๋กœReference Name์ด ๊ฐฑ์‹ ๋˜์ง€๋งŒ ๋ถ€ํ’ˆ์„ Copyํ•  ๊ฒฝ์šฐ๋Š” ๊ฐฑ์‹ ๋˜์ง€ ์•Š์•„์„œ, ๋ถ€ํ’ˆ์„ ์„ ํƒํ•˜๊ณ  ์˜ค๋ฅธ์ชฝ ๋งˆ์šฐ์Šค๋ฅผ๋ˆ„๋ฅด๋ฉด ๋‚˜ํƒ€๋‚˜๋Š” Edit property ์ฐฝ์—์„œ ๊ฐœ๋ณ„์ ์œผ๋กœ ๋ฐ”๊ฟ”์ฃผ๊ธฐ๋„ ํ•œ๋‹ค.

Annotate ์ฐฝ์—๋Š” ์ „์ฒด ๋ถ€ํ’ˆ์˜ ๋ช…์นญ์„ Reset (U?, R?...) ํ•˜๊ฑฐ๋‚˜ ํ•œ๊บผ๋ฒˆ์— ๊ฐฑ์‹  ์‹œํ‚ค๋Š” ๋“ฑ์˜ ์„ ํƒ ํ•ญ๋ชฉ์ด ์žˆ๋‹ค.

U1A

7400

1

23

U1A

7400

1

23

U3A

7400

1

23

U?A

7400

1

23

Project Manager Tool

16

31

๋„๋ฉด ์ „์ฒด์ ์œผ๋กœ update์‹œ ์ ์šฉ

์„ ํƒ ๋„๋ฉด๋งŒ์„ update์‹œ ์ ์šฉ

๋„๋ฉด ์ „์ฒด์ ์œผ๋กœ update์‹œ ์ ์šฉ

์„ ํƒ ๋„๋ฉด๋งŒ์„ update์‹œ ์ ์šฉ

๊ธฐ์กด์˜ Reference name์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ ์™ธ ์ดˆ๊ธฐํ™”

(? Mark) ๋˜์–ด์žˆ๋Š” ๊ฒƒ ๋งŒ์„ update.

๊ธฐ์กด์˜ Reference name์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ์„ ๋ฌด์‹œํ•˜๊ณ ์ฒ˜์Œ๋ถ€ํ„ฐ ๊ฐฑ์‹ .

๋ชจ๋“  Reference name์„ ์ดˆ๊ธฐํ™”

ํ‰๋ฉด ๋„๋ฉด์„ ์—ฐ๊ฒฐํ•˜๊ณ  ์žˆ๋Š” off-page connector ์ฃผ์œ„์—์—ฐ๊ฒฐ๋œ ํŽ˜์ด์ง€ ๋„˜๋ฒ„๋ฅผ ํ‘œ์‹œ.

Intersheet Reference๋ฅผ ์‚ญ์ œ.

๊ธฐ์กด์˜ Reference name์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ ์™ธ ์ดˆ๊ธฐํ™”

(? Mark) ๋˜์–ด์žˆ๋Š” ๊ฒƒ ๋งŒ์„ update.

๊ธฐ์กด์˜ Reference name์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ๊ฒƒ์„ ๋ฌด์‹œํ•˜๊ณ ์ฒ˜์Œ๋ถ€ํ„ฐ ๊ฐฑ์‹ .

๋ชจ๋“  Reference name์„ ์ดˆ๊ธฐํ™”

ํ‰๋ฉด ๋„๋ฉด์„ ์—ฐ๊ฒฐํ•˜๊ณ  ์žˆ๋Š” off-page connector ์ฃผ์œ„์—์—ฐ๊ฒฐ๋œ ํŽ˜์ด์ง€ ๋„˜๋ฒ„๋ฅผ ํ‘œ์‹œ.

Intersheet Reference๋ฅผ ์‚ญ์ œ.

Reference update์‹œ ๋ถ€ํ’ˆ์„ ๋ฌผ๋ฆฌ์ ์œผ๋กœ ํŒ๋‹จ Reference Update. ๊ณ„์ธต๊ตฌ์กฐ๋กœ ์„ค๊ณ„๋œ ๋„๋ฉด์—์„œ ์ ์šฉ.

๋ถ€ํ’ˆ์„ ๋…ผ๋ฆฌ์ ์œผ๋กœ ํŒ๋‹จ Reference update. PSpice,๋‹จ๋ฉดํ˜น์€ ํ‰๋ฉด๊ตฌ์กฐ์—์„œ ์ ์šฉ.

Reference update์‹œ ๋ถ€ํ’ˆ์„ ๋ฌผ๋ฆฌ์ ์œผ๋กœ ํŒ๋‹จ Reference Update. ๊ณ„์ธต๊ตฌ์กฐ๋กœ ์„ค๊ณ„๋œ ๋„๋ฉด์—์„œ ์ ์šฉ.

๋ถ€ํ’ˆ์„ ๋…ผ๋ฆฌ์ ์œผ๋กœ ํŒ๋‹จ Reference update. PSpice,๋‹จ๋ฉดํ˜น์€ ํ‰๋ฉด๊ตฌ์กฐ์—์„œ ์ ์šฉ.

Project Manager Tool

32

Design Rule Check & ERC Matrix <Project Manager ํ™œ์„ฑํ™” / Tool / Design Rule Check>

ํšŒ๋กœ๋„๋ฉด ์™„์„ฑ ํ›„ ํšŒ๋กœ์˜ ์ „๊ธฐ์ ์†์„ฑ์˜ ์ถฉ๋Œ ์—ฌ๋ถ€๋‚˜ ๋ฏธ ์—ฐ๊ฒฐ๋œ ๋ฐฐ์„ ๋“ฑ์˜ Error Check ๊ธฐ๋Šฅ์„ ๊ฐ–๊ณ ์žˆ์œผ๋ฉฐ, Electronic CAD์˜ ๊ฐ€์žฅ ํฐ์žฅ์ ์ด๊ธฐ๋„ ํ•œ ๊ณผ์ •์ด๋‹ค.

ํšŒ๋กœ๋„๋ฉด ์™„์„ฑ ํ›„ ํšŒ๋กœ์˜ ์ „๊ธฐ์ ์†์„ฑ์˜ ์ถฉ๋Œ ์—ฌ๋ถ€๋‚˜ ๋ฏธ ์—ฐ๊ฒฐ๋œ ๋ฐฐ์„ ๋“ฑ์˜ Error Check ๊ธฐ๋Šฅ์„ ๊ฐ–๊ณ ์žˆ์œผ๋ฉฐ, Electronic CAD์˜ ๊ฐ€์žฅ ํฐ์žฅ์ ์ด๊ธฐ๋„ ํ•œ ๊ณผ์ •์ด๋‹ค.

Pin๊ณผ port์˜ ์†์„ฑ๋“ค (input, output, power, bi-directional...)์ด ์œ ๊ธฐ์ ์œผ๋กœ ์—ฐ๊ฒฐ๋˜์–ด์žˆ๋Š”๋ฐ ์ด์— ๋Œ€ํ•œ ์ „๋ฐ˜์ ์ธ ์ „๊ธฐ์  ์†์„ฑ๊ฒ€์‚ฌ๋ฅผ ERC matrix์— ์˜ํ•ด์„œ ์ง„ํ–‰ํ•˜๋ฉฐ, ์‚ฌ์šฉ์ž๊ฐ€ ์†์„ฑ์— ๋Œ€ํ•œ ๊ฒ€์‚ฌ๊ธฐ์ค€์„ ์„ค์ •ํ•  ์ˆ˜์žˆ๋‹ค.

Pin๊ณผ port์˜ ์†์„ฑ๋“ค (input, output, power, bi-directional...)์ด ์œ ๊ธฐ์ ์œผ๋กœ ์—ฐ๊ฒฐ๋˜์–ด์žˆ๋Š”๋ฐ ์ด์— ๋Œ€ํ•œ ์ „๋ฐ˜์ ์ธ ์ „๊ธฐ์  ์†์„ฑ๊ฒ€์‚ฌ๋ฅผ ERC matrix์— ์˜ํ•ด์„œ ์ง„ํ–‰ํ•˜๋ฉฐ, ์‚ฌ์šฉ์ž๊ฐ€ ์†์„ฑ์— ๋Œ€ํ•œ ๊ฒ€์‚ฌ๊ธฐ์ค€์„ ์„ค์ •ํ•  ์ˆ˜์žˆ๋‹ค.

Project Manager Tool

17

33

Create Netlist <Project Manager ํ™œ์„ฑํ™” / Tool / Create Netlist>

Capture program์„ ์‚ฌ์šฉํ•˜๋Š” ์ฃผ์š”

๋ชฉ์ ์ค‘์˜ ํ•˜๋‚˜์ธ ์ด ๊ณผ์ •์€

ํšŒ๋กœ๋„์— ๊ตฌ์„ฑ๋˜์–ด ์žˆ๋Š” ๋ถ€ํ’ˆ๊ฐ„์˜

์„  ์—ฐ๊ฒฐ์ •๋ณด๋ฅผ ์ง€๋‹ˆ๋Š” Netlist file์˜

์ƒ์„ฑ์ด๋‹ค.

์ƒ๋‹จ์˜ Tap key๋ฅผ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉํ• 

tool์— ๋งž๊ฒŒ Netlist๋ฅผ ์ƒ์„ฑ์‹œํ‚ฌ ์ˆ˜

์žˆ๋‹ค.

Capture์˜ Net์ •๋ณด๊ฐ€ ๋ณ€๊ฒฝ๋  ์‹œ

์ž๋™์œผ๋กœ Layout์—์„œ ๊ฐ์ง€

์ž‘์—…์ค‘์ธ ๋ณด๋“œ์ƒ์—์„œ Net ํ˜น์€

component๋ฅผ ์ถ”๊ฐ€ํ•œ๋‹ค.

Capture program์„ ์‚ฌ์šฉํ•˜๋Š” ์ฃผ์š”

๋ชฉ์ ์ค‘์˜ ํ•˜๋‚˜์ธ ์ด ๊ณผ์ •์€

ํšŒ๋กœ๋„์— ๊ตฌ์„ฑ๋˜์–ด ์žˆ๋Š” ๋ถ€ํ’ˆ๊ฐ„์˜

์„  ์—ฐ๊ฒฐ์ •๋ณด๋ฅผ ์ง€๋‹ˆ๋Š” Netlist file์˜

์ƒ์„ฑ์ด๋‹ค.

์ƒ๋‹จ์˜ Tap key๋ฅผ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉํ• 

tool์— ๋งž๊ฒŒ Netlist๋ฅผ ์ƒ์„ฑ์‹œํ‚ฌ ์ˆ˜

์žˆ๋‹ค.

Capture์˜ Net์ •๋ณด๊ฐ€ ๋ณ€๊ฒฝ๋  ์‹œ

์ž๋™์œผ๋กœ Layout์—์„œ ๊ฐ์ง€

์ž‘์—…์ค‘์ธ ๋ณด๋“œ์ƒ์—์„œ Net ํ˜น์€

component๋ฅผ ์ถ”๊ฐ€ํ•œ๋‹ค.

PSpice netlist์˜ Type์—๋Š” Flat Design์— ๋Œ€ํ•œ Netlist ํ˜•ํƒœ ๋ฐ Hierarchical Format , Sub-circuit Format ์œผ๋กœ

Netlist๋ฅผ ์ถœ๋ ฅํ•œ๋‹ค.

Hierarchical ๋ฐ Sub-circuit์ธ ๊ฒฝ์šฐ๋Š” ํ™•์žฅ์ž๋ฅผ Lib๋กœ ๊ฐ€์ ธ๊ฐ€๋ฉฐ, ํ›„์— ๋„๋ฉด์„ ํ•˜๋‚˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ œ์ž‘ ์‹œ

์‚ฌ์šฉ๋œ๋‹ค.

PSpice netlist์˜ Type์—๋Š” Flat Design์— ๋Œ€ํ•œ Netlist ํ˜•ํƒœ ๋ฐ Hierarchical Format , Sub-circuit Format ์œผ๋กœ

Netlist๋ฅผ ์ถœ๋ ฅํ•œ๋‹ค.

Hierarchical ๋ฐ Sub-circuit์ธ ๊ฒฝ์šฐ๋Š” ํ™•์žฅ์ž๋ฅผ Lib๋กœ ๊ฐ€์ ธ๊ฐ€๋ฉฐ, ํ›„์— ๋„๋ฉด์„ ํ•˜๋‚˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ œ์ž‘ ์‹œ

์‚ฌ์šฉ๋œ๋‹ค.

Project Manager Tool

34

Netlist Hierarchical & Sub-circuit Format

* Subcircuit Netlist

.SUBCKT FULLADD CARRY_IN CARRY_OUT SUM X Y

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

.ENDS FULLADD

* Subcircuit Netlist

.SUBCKT FULLADD CARRY_IN CARRY_OUT SUM X Y

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

.ENDS FULLADD

* Flat Design Netlist

.EXTERNAL OUTPUT SUM

.EXTERNAL INPUT X

.EXTERNAL INPUT Y

.EXTERNAL OUTPUT CARRY_OUT

.EXTERNAL INPUT CARRY_IN

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

* Flat Design Netlist

.EXTERNAL OUTPUT SUM

.EXTERNAL INPUT X

.EXTERNAL INPUT Y

.EXTERNAL OUTPUT CARRY_OUT

.EXTERNAL INPUT CARRY_IN

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

* Hierarchical Netlist

X_halfadd_A N00013 SUM CARRY_IN N00011 HALFADD

X_halfadd_B N00023 N00011 X Y HALFADD

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

.SUBCKT HALFADD CARRY SUM X Y

.ENDS HALFADD

* Hierarchical Netlist

X_halfadd_A N00013 SUM CARRY_IN N00011 HALFADD

X_halfadd_B N00023 N00011 X Y HALFADD

X_U2A N00013 N00023 CARRY_OUT $G_DPWR $G_DGND 74LS32 PARAMS:

+ IO_LEVEL=0 MNTYMXDLY=0

.SUBCKT HALFADD CARRY SUM X Y

.ENDS HALFADD

CARRY_IN SUM

CARRY_OUT

X

halfadd_B

HALFADD.SCH

X

Y CARRY

SUM

Y

halfadd_A

HALFADD.SCH

X

Y CARRY

SUM

U2A

74LS32

1

23

Create PSpice Netlist

Subcircuit Format NetlistSubcircuit Format Netlist

Hierarchical Format NetlistHierarchical Format NetlistNormal Format NetlistNormal Format Netlist

18

35

U3

BU

1A

U2

A U3

A

VC C GN

D

Labโ€ฆ..Q&A

36

์ „๊ธฐ,์ „์ž ๋ฐ ๋””์ง€ํ„ธํšŒ๋กœ ๋“ฑ์„ ์„ค๊ณ„ํ•  ๊ฒฝ์šฐ์—๋Š” ํšŒ๋กœ ํŠน์„ฑ์„ ํ‰๊ฐ€ํ•  ์ˆ˜ ์žˆ๋Š” ์ •ํ™•ํ•œ ๋ฐฉ๋ฒ•์ด ํ•„์ˆ˜์ ์ด๋‹ค.

์ด๋Ÿฌํ•œ ํšŒ๋กœ๋ฅผ ์ง์ ‘ ์ œ์ž‘ํ•˜์—ฌ ์‹คํ—˜ํ•  ์ˆ˜๋„ ์žˆ์ง€๋งŒ ,์ด๋ ‡๊ฒŒ ํ•  ๊ฒฝ์šฐ์—๋Š” ํšŒ๋กœ๊ตฌ์„ฑ ๋ฐ ํŠน์„ฑํ•ด์„์— ๋งŽ์€ ์‹œ๊ฐ„๊ณผ๊ณ„์ธก์žฅ๋น„ ๋ฐ ๊ฒฝ๋น„๊ฐ€ ํ•„์š”ํ•˜์ง€๋งŒ ์‹ค์ œ๋กœ ํšŒ๋กœ๋ฅผ ์ œ์ž‘ํ•˜๊ธฐ ์ „์— ์ปดํ“จํ„ฐ๋ฅผ ์ด์šฉํ•˜์—ฌ ๊ณ„์‚ฐํ•˜๊ณ  ์ธก์ •,ํ‰๊ฐ€ํ•˜๋Š” ๊ณผ์ •์„๊ฑฐ์น˜๋Š” ๊ณณ์ด ํ˜„์žฌ ํšŒ๋กœ์„ค๊ณ„ ๋ฐ ์ œ์ž‘ ์‹œ์— ๋ฐ˜๋“œ์‹œ ํ•„์š”์ ์ธ ์‚ฌํ•ญ์ด ๋˜์—ˆ๋‹ค.์ด๋Ÿฌํ•œ ๊ณผ์ •์„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ (simulation) ์ด๋ผ ํ•œ๋‹ค

SPICE๋Š” ์ปดํ“จํ„ฐ๋ฅผ ์ด์šฉํ•˜์—ฌ ์ „์ง€,์ „์žํšŒ๋กœ์˜ ํ•ด์„ ๋ฐ ์„ค๊ณ„๋ฅผ ์œ„ํ•ด 1972๋…„ ๋ฏธ๊ตญ Berkely๋Œ€ํ•™์—์„œ ๊ฐœ๋ฐœํ•œํ”„๋กœ๊ทธ๋žจ์ด๋‹ค.

SPICE์˜ ๊ฐœ๋ฐœ์— ์˜ํ•ด ํŠธ๋žœ์ง€์Šคํ„ฐ์˜ ๋™์ž‘ ์ ,๊ณผ๋„ ํŠน์„ฑํ•ด์„ ๋ฐ ์ฃผํŒŒ์ˆ˜ ์‘๋‹ตํ•ด์„ ๋“ฑ์˜ ์ „๊ธฐ,์ „์žํšŒ๋กœ์— ๋Œ€ํ•œ๋ณต์žกํ•˜๊ณ  ๋‹ค์–‘ํ•œ ํ•ด์„์ด ๊ฐ€๋Šฅํ•˜๊ฒŒ ๋˜์—ˆ๊ณ  ๋ชจ๋“  ํšŒ๋กœ์— ๊ณตํ†ต์œผ๋กœ ์‚ฌ์šฉํ•˜๋Š” ์ €ํ•ญ, ์ฝ˜๋ด์„œ, ์ธ๋•ํ„ฐ ๋“ฑ์˜ ์ˆ˜๋™์†Œ์ž์™€๋‹ค์ด์˜ค๋“œ, ํŠธ๋žœ์ง€์Šคํ„ฐ, FET๋“ฑ์˜ ๋Šฅ๋™์†Œ์ž์— ๋Œ€ํ•œ ๋ชจ๋ธ์„ ์ž๋ฃŒํ™”ํ•จ์œผ๋กœ์จ ๊ฑฐ์˜ ๋ชจ๋“  ํšŒ๋กœ์— ๋Œ€ํ•œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด๊ฐ€๋Šฅํ•˜๋‹ค.

Pspice๋ž€ ?์ตœ๊ทผ๊นŒ์ง€๋„SPICE๋Š” 1972๋…„์— ๊ฐœ๋ฐœํ•œ Berkely SPICE์— ์˜ํ•œ PC๊ธฐ๋ฐ˜์˜ Analog/Digital ํ˜ผํ•ฉํšŒ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ํ”„๋กœ๊ทธ๋žจ์ด๋‹ค.PSpice๋Š” ํšŒ๋กœ์˜ ์„ค๊ณ„์™€ ํŽธ์ง‘,์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ทธ๋ฆฌ๊ณ  ๊ทธ๋ž˜ํ”ฝ ์ถœ๋ ฅ ๋“ฑ์„ ๋ณผ ์ˆ˜ ์žˆ๋Š” Capture , Stimulus editor , PSpice A/D, Probe๋กœ ๊ตฌ์„ฑ๋˜์–ด ์žˆ๋‹ค.

๋˜ํ•œ 14,000๊ฐœ์˜ Analog library์™€ 2,000๊ฐœ์˜Digital library๋กœ ๊ตฌ์„ฑ๋˜์–ด ์žˆ๊ณ  Vendor์™€ PSpice๊ฐ€ ์ œ๊ณตํ•˜๋Š”library๋ฅผ ์ˆ˜์ •ํ•˜์—ฌ ์ƒˆ๋กœ์šด model๋ฅผ ์ƒ์„ฑํ•  ์ˆ˜ ์žˆ๋Š” ๊ธฐ๋Šฅ์„ ์ œ๊ณตํ•œ๋‹ค.

์ƒˆ๋กญ๊ฒŒ ์ถœ์‹œ๋œ Advanced Analysis๋Š” ์—”์ง€๋‹ˆ์–ด๋กœ ํ•˜์—ฌ๊ธˆ ํ•œ ๋„๋ฉด์— ๋Œ€ํ•œ ๊ณ ๊ธ‰์ ์ธ ์˜ต์…˜์„ ์ œ๊ณตํ•œ๋‹ค.

Advanced Analysis๋Š” PSpice๊ณผ ํ•จ๊ป˜ ์‹คํ–‰ ๋˜๋ฉฐ, ์ถ”๊ฐ€์ ์ธ 5000์—ฌ๊ฐœ์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ด์šฉํ•  ์ˆ˜ ์žˆ๋‹ค.

์ „๊ธฐ,์ „์ž ๋ฐ ๋””์ง€ํ„ธํšŒ๋กœ ๋“ฑ์„ ์„ค๊ณ„ํ•  ๊ฒฝ์šฐ์—๋Š” ํšŒ๋กœ ํŠน์„ฑ์„ ํ‰๊ฐ€ํ•  ์ˆ˜ ์žˆ๋Š” ์ •ํ™•ํ•œ ๋ฐฉ๋ฒ•์ด ํ•„์ˆ˜์ ์ด๋‹ค.

์ด๋Ÿฌํ•œ ํšŒ๋กœ๋ฅผ ์ง์ ‘ ์ œ์ž‘ํ•˜์—ฌ ์‹คํ—˜ํ•  ์ˆ˜๋„ ์žˆ์ง€๋งŒ ,์ด๋ ‡๊ฒŒ ํ•  ๊ฒฝ์šฐ์—๋Š” ํšŒ๋กœ๊ตฌ์„ฑ ๋ฐ ํŠน์„ฑํ•ด์„์— ๋งŽ์€ ์‹œ๊ฐ„๊ณผ๊ณ„์ธก์žฅ๋น„ ๋ฐ ๊ฒฝ๋น„๊ฐ€ ํ•„์š”ํ•˜์ง€๋งŒ ์‹ค์ œ๋กœ ํšŒ๋กœ๋ฅผ ์ œ์ž‘ํ•˜๊ธฐ ์ „์— ์ปดํ“จํ„ฐ๋ฅผ ์ด์šฉํ•˜์—ฌ ๊ณ„์‚ฐํ•˜๊ณ  ์ธก์ •,ํ‰๊ฐ€ํ•˜๋Š” ๊ณผ์ •์„๊ฑฐ์น˜๋Š” ๊ณณ์ด ํ˜„์žฌ ํšŒ๋กœ์„ค๊ณ„ ๋ฐ ์ œ์ž‘ ์‹œ์— ๋ฐ˜๋“œ์‹œ ํ•„์š”์ ์ธ ์‚ฌํ•ญ์ด ๋˜์—ˆ๋‹ค.์ด๋Ÿฌํ•œ ๊ณผ์ •์„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ (simulation) ์ด๋ผ ํ•œ๋‹ค

SPICE๋Š” ์ปดํ“จํ„ฐ๋ฅผ ์ด์šฉํ•˜์—ฌ ์ „์ง€,์ „์žํšŒ๋กœ์˜ ํ•ด์„ ๋ฐ ์„ค๊ณ„๋ฅผ ์œ„ํ•ด 1972๋…„ ๋ฏธ๊ตญ Berkely๋Œ€ํ•™์—์„œ ๊ฐœ๋ฐœํ•œํ”„๋กœ๊ทธ๋žจ์ด๋‹ค.

SPICE์˜ ๊ฐœ๋ฐœ์— ์˜ํ•ด ํŠธ๋žœ์ง€์Šคํ„ฐ์˜ ๋™์ž‘ ์ ,๊ณผ๋„ ํŠน์„ฑํ•ด์„ ๋ฐ ์ฃผํŒŒ์ˆ˜ ์‘๋‹ตํ•ด์„ ๋“ฑ์˜ ์ „๊ธฐ,์ „์žํšŒ๋กœ์— ๋Œ€ํ•œ๋ณต์žกํ•˜๊ณ  ๋‹ค์–‘ํ•œ ํ•ด์„์ด ๊ฐ€๋Šฅํ•˜๊ฒŒ ๋˜์—ˆ๊ณ  ๋ชจ๋“  ํšŒ๋กœ์— ๊ณตํ†ต์œผ๋กœ ์‚ฌ์šฉํ•˜๋Š” ์ €ํ•ญ, ์ฝ˜๋ด์„œ, ์ธ๋•ํ„ฐ ๋“ฑ์˜ ์ˆ˜๋™์†Œ์ž์™€๋‹ค์ด์˜ค๋“œ, ํŠธ๋žœ์ง€์Šคํ„ฐ, FET๋“ฑ์˜ ๋Šฅ๋™์†Œ์ž์— ๋Œ€ํ•œ ๋ชจ๋ธ์„ ์ž๋ฃŒํ™”ํ•จ์œผ๋กœ์จ ๊ฑฐ์˜ ๋ชจ๋“  ํšŒ๋กœ์— ๋Œ€ํ•œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด๊ฐ€๋Šฅํ•˜๋‹ค.

Pspice๋ž€ ?์ตœ๊ทผ๊นŒ์ง€๋„SPICE๋Š” 1972๋…„์— ๊ฐœ๋ฐœํ•œ Berkely SPICE์— ์˜ํ•œ PC๊ธฐ๋ฐ˜์˜ Analog/Digital ํ˜ผํ•ฉํšŒ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ํ”„๋กœ๊ทธ๋žจ์ด๋‹ค.PSpice๋Š” ํšŒ๋กœ์˜ ์„ค๊ณ„์™€ ํŽธ์ง‘,์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ทธ๋ฆฌ๊ณ  ๊ทธ๋ž˜ํ”ฝ ์ถœ๋ ฅ ๋“ฑ์„ ๋ณผ ์ˆ˜ ์žˆ๋Š” Capture , Stimulus editor , PSpice A/D, Probe๋กœ ๊ตฌ์„ฑ๋˜์–ด ์žˆ๋‹ค.

๋˜ํ•œ 14,000๊ฐœ์˜ Analog library์™€ 2,000๊ฐœ์˜Digital library๋กœ ๊ตฌ์„ฑ๋˜์–ด ์žˆ๊ณ  Vendor์™€ PSpice๊ฐ€ ์ œ๊ณตํ•˜๋Š”library๋ฅผ ์ˆ˜์ •ํ•˜์—ฌ ์ƒˆ๋กœ์šด model๋ฅผ ์ƒ์„ฑํ•  ์ˆ˜ ์žˆ๋Š” ๊ธฐ๋Šฅ์„ ์ œ๊ณตํ•œ๋‹ค.

์ƒˆ๋กญ๊ฒŒ ์ถœ์‹œ๋œ Advanced Analysis๋Š” ์—”์ง€๋‹ˆ์–ด๋กœ ํ•˜์—ฌ๊ธˆ ํ•œ ๋„๋ฉด์— ๋Œ€ํ•œ ๊ณ ๊ธ‰์ ์ธ ์˜ต์…˜์„ ์ œ๊ณตํ•œ๋‹ค.

Advanced Analysis๋Š” PSpice๊ณผ ํ•จ๊ป˜ ์‹คํ–‰ ๋˜๋ฉฐ, ์ถ”๊ฐ€์ ์ธ 5000์—ฌ๊ฐœ์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ด์šฉํ•  ์ˆ˜ ์žˆ๋‹ค.

PSpice intro

Spice (Simulation Program With Integrated Circuit Emphasis)๋ž€ ?Spice (Simulation Program With Integrated Circuit Emphasis)๋ž€ ?

19

37

PSpice System Block

38

R1

1k

V10VdcR2

1k

Output_nodeinput_node

0

C1

1n

๋„๋ฉด ์ž‘์„ฑ ์ˆœ์„œ

1. R , C , VDC์ „์› ๋ฐฐ์น˜

Place / Place part

R,C / Analog.olb VDC / Source.olb

2. Ground ๋ฐฐ์น˜

Place / Place Ground

0 / source.olb

3. ๋ฐฐ์„  Place / Place Wire

๋„๋ฉด ์ž‘์„ฑ ์ˆœ์„œ

1. R , C , VDC์ „์› ๋ฐฐ์น˜

Place / Place part

R,C / Analog.olb VDC / Source.olb

2. Ground ๋ฐฐ์น˜

Place / Place Ground

0 / source.olb

3. ๋ฐฐ์„  Place / Place Wire

PSpice์šฉ ์ ‘์ง€๋Š” โ€œ0โ€๋กœ ์‚ฌ์šฉ๋œ๋‹ค.

Place Ground์— ํฌํ•จ ํ•˜๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ

- Source.lib : 0 , $D_H , $D_LO - Capsym.olb <Capture Symbol>

VCC Series

Ground Series

PSpice์šฉ ์ ‘์ง€๋Š” โ€œ0โ€๋กœ ์‚ฌ์šฉ๋œ๋‹ค.

Place Ground์— ํฌํ•จ ํ•˜๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ

- Source.lib : 0 , $D_H , $D_LO - Capsym.olb <Capture Symbol>

VCC Series

Ground Series

LO

0

HI

Schematic Entry

20

39

1. Place/Part ์„ ํƒ (ํ˜น์€toolbar button , P๋ฅผ ํด๋ฆญ).

2. Place Part ๋Œ€ํ™”์ฐฝ์—์„œ Source๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ VDC๋ฅผ ์„ ํƒํ•œ๋‹ค.

3. ์•„๋ž˜์™€ ๊ฐ™์ด VDC์˜ Symbol์ด ๋‚˜ํƒ€๋‚˜๊ณ  OK๋ฅผ ์„ ํƒํ•˜๋ฉด ๋Œ€ํ™”์ฐฝ์„ ๋‹ซํ˜€์ง€๊ณ  ๋งˆ์šฐ์Šค ๋์— VDC์†Œ์ž๋ฅผ

๋ฐฐ์น˜ํ•  ์ˆ˜ ์žˆ๋Š” ์ƒํƒœ๊ฐ€ ๋œ๋‹ค.

4. ๋ฐฐ์น˜์œ„์น˜์˜ ๋„๋ฉด์— source๋ฅผ ๋ฐฐ์น˜ํ•œ๋‹ค. DC์ „์› ์„ค์ •์€ 0VDC๋ฅผ ๋”๋ธ” ํด๋ฆญํ•˜์—ฌ ์›ํ•˜๋Š” ์ „์•• ๊ฐ’์œผ๋กœ ๋ณ€๊ฒฝ

* ๊ฐ ๋ถ€ํ’ˆ ๋ณ„ Value๋ฅผ ์„ค์ • : ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋Š” ์ž์ฒด ์ธ์‹ํ•˜๋ฉฐ, ํฌ๊ธฐ์˜ ๋‹จ์œ„๋งŒ ์ ์šฉํ•œ๋‹ค.

P,p โ€“ Pico U,u โ€“ Micrometer M,m โ€“ millimeter K,k โ€“ Kilometer Meg,meg โ€“ Mega

Resistors๋ฐฐ์น˜ (capacitor , inductor)

1. Place/Part ์„ ํƒ (<SHIFT-P> , toolbar button).

2. Part dialog์— R์„ ์นœ๋‹ค.

3. OK.

4. ์ฒซ๋ฒˆ์งธ ์ €ํ•ญ(R1)์„ O.K์„ ํด๋ฆญ

5. <CTRL-R>์„ ๋ˆ„๋ฅด๋ฉด resistor์ด ํšŒ์ „ํ•œ๋‹ค.

6. (R2)๋ฅผ ๊ฐ™์€ ๋ฐฉ๋ฒ•์œผ๋กœ ๋ฐฐ์น˜.

1. Place/Part ์„ ํƒ (ํ˜น์€toolbar button , P๋ฅผ ํด๋ฆญ).

2. Place Part ๋Œ€ํ™”์ฐฝ์—์„œ Source๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ VDC๋ฅผ ์„ ํƒํ•œ๋‹ค.

3. ์•„๋ž˜์™€ ๊ฐ™์ด VDC์˜ Symbol์ด ๋‚˜ํƒ€๋‚˜๊ณ  OK๋ฅผ ์„ ํƒํ•˜๋ฉด ๋Œ€ํ™”์ฐฝ์„ ๋‹ซํ˜€์ง€๊ณ  ๋งˆ์šฐ์Šค ๋์— VDC์†Œ์ž๋ฅผ

๋ฐฐ์น˜ํ•  ์ˆ˜ ์žˆ๋Š” ์ƒํƒœ๊ฐ€ ๋œ๋‹ค.

4. ๋ฐฐ์น˜์œ„์น˜์˜ ๋„๋ฉด์— source๋ฅผ ๋ฐฐ์น˜ํ•œ๋‹ค. DC์ „์› ์„ค์ •์€ 0VDC๋ฅผ ๋”๋ธ” ํด๋ฆญํ•˜์—ฌ ์›ํ•˜๋Š” ์ „์•• ๊ฐ’์œผ๋กœ ๋ณ€๊ฒฝ

* ๊ฐ ๋ถ€ํ’ˆ ๋ณ„ Value๋ฅผ ์„ค์ • : ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋Š” ์ž์ฒด ์ธ์‹ํ•˜๋ฉฐ, ํฌ๊ธฐ์˜ ๋‹จ์œ„๋งŒ ์ ์šฉํ•œ๋‹ค.

P,p โ€“ Pico U,u โ€“ Micrometer M,m โ€“ millimeter K,k โ€“ Kilometer Meg,meg โ€“ Mega

Resistors๋ฐฐ์น˜ (capacitor , inductor)

1. Place/Part ์„ ํƒ (<SHIFT-P> , toolbar button).

2. Part dialog์— R์„ ์นœ๋‹ค.

3. OK.

4. ์ฒซ๋ฒˆ์งธ ์ €ํ•ญ(R1)์„ O.K์„ ํด๋ฆญ

5. <CTRL-R>์„ ๋ˆ„๋ฅด๋ฉด resistor์ด ํšŒ์ „ํ•œ๋‹ค.

6. (R2)๋ฅผ ๊ฐ™์€ ๋ฐฉ๋ฒ•์œผ๋กœ ๋ฐฐ์น˜.

Place VDC

Voltage source ๋ฐฐ์น˜Voltage source ๋ฐฐ์น˜

40

- PSpice library file name: *.lib ASCII text file (.model๊ณผ .subckt ๊ตฌ์„ฑ)

๋„๋ฉด์ƒ์—์„œ์˜ ์ง์ ‘ ์‚ฌ์šฉ์€ ๋ถˆ๊ฐ€ํ•˜์ง€๋งŒ symbol library๋ฅผ ์ด์šฉํ•ด ํšŒ๋กœ๋ฅผ ๊ตฌ์„ฑํ•œ ๋‹ค์Œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹œ ์ฐธ์กฐํ•œ๋‹ค.

- symbol library file name: *.olb

๋„๋ฉด์ƒ์—์„œ์˜ ํšŒ๋กœ๋ฅผ ์„ค๊ณ„ํ•  ์ˆ˜ ์žˆ๊ฒŒ ์†Œ์ž์˜ ์‹ฌ๋ฒŒ์„ ์ •์˜ํ•ด ๋†“์€ file device์˜ ๊ทธ๋ž˜ํ”ฝ ํ‘œํ˜„๊ณผ ์†์„ฑ์— ๋Œ€ํ•œ

์ •๋ณด๋ฅผ ํฌํ•จํ•˜๊ณ  ์žˆ๋‹ค.

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ์‚ฌ์šฉ์ƒ ์ฃผ์˜์‚ฌํ•ญ

์ธ์Šคํ†จ์ด ๋๋‚œ ์ƒํƒœ์—์„œ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ฐ๊ฐ Path๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๊ณ , ์ด ๋””๋ ‰ํ„ฐ๋ฆฌ๋ฅผ ๋ณ€๊ฒฝ์‹œํ‚ฌ ๊ฒฝ์šฐ ๊ฐ๊ฐ์˜

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋“ค์˜ Path๊ฐ€ ๊ฐ™์ด ๋ณ€๊ฒฝ๋œ๋‹ค.

์ด๊ฒƒ์„ ๋ณต๊ตฌํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •์—์„œ ๊ฐ๊ฐ์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ Path๋ฅผ ๋‹ค์‹œ ์ง€์ •ํ•ด ์ฃผ์–ด์•ผ ํ•œ๋‹ค. (์ด์ ์—

์œ ์˜ํ•˜์—ฌ ๋””๋ ‰ํ„ฐ๋ฆฌ ๋ณ€๊ฒฝ์ด ์—†์–ด์•ผ ํ•จ.)

์ด ๋‘ ๋””๋ ‰ํ† ๋ฆฌ์— ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋“ค์˜ ์ฐจ์ด๋Š” PCB์šฉ . ์ฆ‰, ASCII text file(*.Lib)๋ฅผ ํฌํ•จํ•˜๊ณ  ์žˆ์ง€ ์•Š๋‹ค๋Š”

๊ฒƒ์ธ๋ฐ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์—์„œ๋Š” ๊ฐ๊ฐ์˜ ์†Œ์ž๋“ค์˜ ๋ฐ์ดํ„ฐ ์‹œํŠธ์˜ ํŠน์„ฑ์„ ๊ฐ€์ง€๊ณ  ์‹คํ–‰๋˜๊ธฐ ๋•Œ๋ฌธ์— ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

๋„๋ฉด ๊ตฌ์„ฑ์„ ์œ„ํ•ด์„œ๋Š” ๋ชจ๋ธ๋กœ์„œ ํŠน์„ฑ์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” PSpice์˜ ๋””๋ ‰ํ„ฐ๋ฆฌ์— ํฌํ•จ๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋“ค์„ ์‚ฌ์šฉํ•ด์•ผ

ํ•œ๋‹ค.

Note : Capture์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ Error massage๋Š” ๋‹ค์Œ๊ณผ ๊ฐ™๋‹ค.

โ€œ WARNING: Part Part_name has no simulation model. โ€

- PSpice library file name: *.lib ASCII text file (.model๊ณผ .subckt ๊ตฌ์„ฑ)

๋„๋ฉด์ƒ์—์„œ์˜ ์ง์ ‘ ์‚ฌ์šฉ์€ ๋ถˆ๊ฐ€ํ•˜์ง€๋งŒ symbol library๋ฅผ ์ด์šฉํ•ด ํšŒ๋กœ๋ฅผ ๊ตฌ์„ฑํ•œ ๋‹ค์Œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹œ ์ฐธ์กฐํ•œ๋‹ค.

- symbol library file name: *.olb

๋„๋ฉด์ƒ์—์„œ์˜ ํšŒ๋กœ๋ฅผ ์„ค๊ณ„ํ•  ์ˆ˜ ์žˆ๊ฒŒ ์†Œ์ž์˜ ์‹ฌ๋ฒŒ์„ ์ •์˜ํ•ด ๋†“์€ file device์˜ ๊ทธ๋ž˜ํ”ฝ ํ‘œํ˜„๊ณผ ์†์„ฑ์— ๋Œ€ํ•œ

์ •๋ณด๋ฅผ ํฌํ•จํ•˜๊ณ  ์žˆ๋‹ค.

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ์‚ฌ์šฉ์ƒ ์ฃผ์˜์‚ฌํ•ญ

์ธ์Šคํ†จ์ด ๋๋‚œ ์ƒํƒœ์—์„œ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ฐ๊ฐ Path๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๊ณ , ์ด ๋””๋ ‰ํ„ฐ๋ฆฌ๋ฅผ ๋ณ€๊ฒฝ์‹œํ‚ฌ ๊ฒฝ์šฐ ๊ฐ๊ฐ์˜

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋“ค์˜ Path๊ฐ€ ๊ฐ™์ด ๋ณ€๊ฒฝ๋œ๋‹ค.

์ด๊ฒƒ์„ ๋ณต๊ตฌํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •์—์„œ ๊ฐ๊ฐ์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ Path๋ฅผ ๋‹ค์‹œ ์ง€์ •ํ•ด ์ฃผ์–ด์•ผ ํ•œ๋‹ค. (์ด์ ์—

์œ ์˜ํ•˜์—ฌ ๋””๋ ‰ํ„ฐ๋ฆฌ ๋ณ€๊ฒฝ์ด ์—†์–ด์•ผ ํ•จ.)

์ด ๋‘ ๋””๋ ‰ํ† ๋ฆฌ์— ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋“ค์˜ ์ฐจ์ด๋Š” PCB์šฉ . ์ฆ‰, ASCII text file(*.Lib)๋ฅผ ํฌํ•จํ•˜๊ณ  ์žˆ์ง€ ์•Š๋‹ค๋Š”

๊ฒƒ์ธ๋ฐ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์—์„œ๋Š” ๊ฐ๊ฐ์˜ ์†Œ์ž๋“ค์˜ ๋ฐ์ดํ„ฐ ์‹œํŠธ์˜ ํŠน์„ฑ์„ ๊ฐ€์ง€๊ณ  ์‹คํ–‰๋˜๊ธฐ ๋•Œ๋ฌธ์— ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

๋„๋ฉด ๊ตฌ์„ฑ์„ ์œ„ํ•ด์„œ๋Š” ๋ชจ๋ธ๋กœ์„œ ํŠน์„ฑ์„ ๊ฐ€์ง€๊ณ  ์žˆ๋Š” PSpice์˜ ๋””๋ ‰ํ„ฐ๋ฆฌ์— ํฌํ•จ๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋“ค์„ ์‚ฌ์šฉํ•ด์•ผ

ํ•œ๋‹ค.

Note : Capture์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ Error massage๋Š” ๋‹ค์Œ๊ณผ ๊ฐ™๋‹ค.

โ€œ WARNING: Part Part_name has no simulation model. โ€

PSpice library

21

41

์ด ๋‘ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ฒฝ๋กœ๋Š” ์„œ๋กœ ํ‹€๋ฆฌ๋ฉฐ, ๋‘

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋Š” PCB์šฉ๊ณผ SIMULATION ์šฉ

์œผ๋กœ ๊ตฌ๋ถ„๋˜์–ด ์žˆ์Œ์„ ์ƒ๊ธฐ ํ•ด์•ผ ํ•จ.

PCB๋ฅผ ์œ„ํ•œ ๋””์ž์ธ ์ž‘์—… ์‹œ OLB ํŒŒ์ผ์˜

ํฌ๋งท์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ํ•„์š”ํ•˜๋ฉฐ,

Simulation์„ ์œ„ํ•œ ๋””์ž์ธ ์ž‘์—… ์‹œ OLB & LIBํฌ๋งท์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ํ•„์š”.

์ด ๋‘ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ฒฝ๋กœ๋Š” ์„œ๋กœ ํ‹€๋ฆฌ๋ฉฐ, ๋‘

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋Š” PCB์šฉ๊ณผ SIMULATION ์šฉ

์œผ๋กœ ๊ตฌ๋ถ„๋˜์–ด ์žˆ์Œ์„ ์ƒ๊ธฐ ํ•ด์•ผ ํ•จ.

PCB๋ฅผ ์œ„ํ•œ ๋””์ž์ธ ์ž‘์—… ์‹œ OLB ํŒŒ์ผ์˜

ํฌ๋งท์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ํ•„์š”ํ•˜๋ฉฐ,

Simulation์„ ์œ„ํ•œ ๋””์ž์ธ ์ž‘์—… ์‹œ OLB & LIBํฌ๋งท์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ํ•„์š”.

PSpice & Capture library

์ฒซ๋ฒˆ์งธGND_FIELD SIGNAL์€

CAPSYM์ด๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ ๋ถˆ๋Ÿฌ์˜ค๋ฉฐ,

Symbol Name์ด โ€œGND_FIELD SIGNALโ€์ž„.

์ด ๋ถ€ํ’ˆ์„ ์‚ฌ์šฉ ์‹œ ํšŒ๋กœ์˜ Netlist๋Š” ๋ถ€ํ’ˆ

์ด๋ฆ„

์œผ๋กœ ์ƒ์„ฑ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ Netlist ์ƒ

์„ฑ ์‹œ ์ ‘์ง€๋กœ์„œ์˜ ๋…ธ๋“œ ์ด๋ฆ„์„ ๊ฐ€์งˆ ์ˆ˜ ์—†๋‹ค.

์ฒซ๋ฒˆ์งธGND_FIELD SIGNAL์€

CAPSYM์ด๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ ๋ถˆ๋Ÿฌ์˜ค๋ฉฐ,

Symbol Name์ด โ€œGND_FIELD SIGNALโ€์ž„.

์ด ๋ถ€ํ’ˆ์„ ์‚ฌ์šฉ ์‹œ ํšŒ๋กœ์˜ Netlist๋Š” ๋ถ€ํ’ˆ

์ด๋ฆ„

์œผ๋กœ ์ƒ์„ฑ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ Netlist ์ƒ

์„ฑ ์‹œ ์ ‘์ง€๋กœ์„œ์˜ ๋…ธ๋“œ ์ด๋ฆ„์„ ๊ฐ€์งˆ ์ˆ˜ ์—†๋‹ค.

์ฒซ๋ฒˆ์งธGND_FIELD SIGNAL์€

CAPSYM์ด๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ ๋ถˆ๋Ÿฌ์˜ค๋ฉฐ,

Symbol Name์ด โ€œGND_FIELD SIGNALโ€์ž„.

์ด ๋ถ€ํ’ˆ์„ ์‚ฌ์šฉ ์‹œ ํšŒ๋กœ์˜ Netlist๋Š” ๋ถ€ํ’ˆ

์ด๋ฆ„์œผ๋กœ ์ƒ์„ฑ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

Netlist ์ƒ์„ฑ ์‹œ ์ ‘์ง€๋กœ์„œ์˜ ๋…ธ๋“œ ์ด๋ฆ„์„ ๊ฐ€์งˆ

์ˆ˜ ์—†๋‹ค.

์ฒซ๋ฒˆ์งธGND_FIELD SIGNAL์€

CAPSYM์ด๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—์„œ ๋ถˆ๋Ÿฌ์˜ค๋ฉฐ,

Symbol Name์ด โ€œGND_FIELD SIGNALโ€์ž„.

์ด ๋ถ€ํ’ˆ์„ ์‚ฌ์šฉ ์‹œ ํšŒ๋กœ์˜ Netlist๋Š” ๋ถ€ํ’ˆ

์ด๋ฆ„์œผ๋กœ ์ƒ์„ฑ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

Netlist ์ƒ์„ฑ ์‹œ ์ ‘์ง€๋กœ์„œ์˜ ๋…ธ๋“œ ์ด๋ฆ„์„ ๊ฐ€์งˆ

์ˆ˜ ์—†๋‹ค.

๋…ธ๋“œ ์ด๋ฆ„์ด โ€œ0โ€์ธ PSpice library ๋…ธ๋“œ ์ด๋ฆ„์ด โ€œ0โ€์ธ PSpice library

42

Part Properties

๊ฐ์ฒด ํ™œ์„ฑํ™” ํ›„ ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค๋ฅผ ์ด์šฉํ•œ

Pop-up Menu ๋ชฉ๋ก์˜ Part Properties ์„ ํƒ

๊ฐ์ฒด ํ™œ์„ฑํ™” ํ›„ ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค๋ฅผ ์ด์šฉํ•œ

Pop-up Menu ๋ชฉ๋ก์˜ Part Properties ์„ ํƒ

์ƒˆ ๋กœ ์šด Column ์ƒ์„ฑ ์‹œ ์‚ฌ์šฉ

์ƒˆ ๋กœ ์šด Column ์ƒ์„ฑ ์‹œ ์‚ฌ์šฉ

์„  ํƒ ๋œ Column ์˜

Display Format ์„ค์ •

์„  ํƒ ๋œ Column ์˜

Display Format ์„ค์ •Properties Type ์—

๋”ฐ๋ฅธ Filter ๊ธฐ๋Šฅ

Properties Type ์—

๋”ฐ๋ฅธ Filter ๊ธฐ๋Šฅ

ํŽธ์ง‘ ๊ฐ€๋Šฅํ•œ

AreaํŽธ์ง‘ ๊ฐ€๋Šฅํ•œ

Area

ํŽธ์ง‘ ํ•  ์ˆ˜

์—†๋Š” AreaํŽธ์ง‘ ํ•  ์ˆ˜

์—†๋Š” Area

22

43

* source RC_DCV_V1 INPUT_NODE 0 0VdcR_R1 INPUT_NODE OUTPUT_NODE 1k R_R2 0 OUTPUT_NODE 1k C_C1 0 OUTPUT_NODE 1n

* source RC_DCV_V1 INPUT_NODE 0 0VdcR_R1 INPUT_NODE OUTPUT_NODE 1k R_R2 0 OUTPUT_NODE 1k C_C1 0 OUTPUT_NODE 1n

V10Vdc

R1

1k

R2

1k

C1

1n

0

Output_nodeinput_node

<Menu / PSpice / Create Netlist><Menu / PSpice / Create Netlist>

์ž‘์„ฑ๋œ ๋„๋ฉด์˜ Net ์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ์ถœ๋ ฅ PSpice /Create netlist ๋ฅผ ํด๋ฆญํ•˜์—ฌ, Netlist file์ด ProjectManager์˜ Output Directory์— ์ €์žฅ๋จ์„

ํ™•์ธํ•˜๊ณ , PSpice Netlist Format์„ ํ™•์ธํ•œ๋‹ค. ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ ๋„๋ฉด์—์„œ ์‚ฌ์šฉ๋˜๋Š” ์ ‘์ง€๋Š”

ํ•ญ์ƒ 0 ์ž„์„ ํ™•์ธํ•œ๋‹ค.๋„๋ฉด์— ์‚ฌ์šฉ๋œ Net alias๋ฅผ ํ†ตํ•˜์—ฌ ๋…ธ๋“œ ๋„ค์ž„์„

๊ฒฐ์ •ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, ์ด ์ด๋ฆ„์€ ์—ฌ๋Ÿฌ ๋ชฉ์ ์œผ๋กœ ์‚ฌ์šฉ

๋  ์ˆ˜ ์žˆ์œผ๋ฉฐ ํ›„์— ๊ธฐ๋Šฅ ์†Œ๊ฐœ์—๋„ ๊ณ„์† ์‚ฌ์šฉ๋œ๋‹ค.

์ž‘์„ฑ๋œ ๋„๋ฉด์˜ Net ์—ฐ๊ฒฐ ์ •๋ณด๋ฅผ ์ถœ๋ ฅ PSpice /Create netlist ๋ฅผ ํด๋ฆญํ•˜์—ฌ, Netlist file์ด ProjectManager์˜ Output Directory์— ์ €์žฅ๋จ์„

ํ™•์ธํ•˜๊ณ , PSpice Netlist Format์„ ํ™•์ธํ•œ๋‹ค. ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ ๋„๋ฉด์—์„œ ์‚ฌ์šฉ๋˜๋Š” ์ ‘์ง€๋Š”

ํ•ญ์ƒ 0 ์ž„์„ ํ™•์ธํ•œ๋‹ค.๋„๋ฉด์— ์‚ฌ์šฉ๋œ Net alias๋ฅผ ํ†ตํ•˜์—ฌ ๋…ธ๋“œ ๋„ค์ž„์„

๊ฒฐ์ •ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, ์ด ์ด๋ฆ„์€ ์—ฌ๋Ÿฌ ๋ชฉ์ ์œผ๋กœ ์‚ฌ์šฉ

๋  ์ˆ˜ ์žˆ์œผ๋ฉฐ ํ›„์— ๊ธฐ๋Šฅ ์†Œ๊ฐœ์—๋„ ๊ณ„์† ์‚ฌ์šฉ๋œ๋‹ค.

Create Netlist

Double ClickDouble Click

Circuit Create for SimulationCircuit Create for Simulation

44

R1์€ Analog.olb์—์„œ R2๋Š” Discreate.olb์˜ ๋‘๊ฐœ์˜ ์ €ํ•ญ์˜์ฐจ์ด์ ์€ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ๊ฐ€๋Šฅํ•จ๊ณผ ๊ทธ๋ ‡์ง€ ์•Š์Œ์„ ๋ณด์—ฌ ์ค€๋‹ค.์œ„์˜ ๊ทธ๋ฆผ ์ค‘ Property Editor์— R1๊ณผ R2์˜ ์†์„ฑ์— PSpiceTemplate์ด ์žˆ๊ณ  ์—†์Œ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ๋‹ค.R2์— R1๊ณผ ๊ฐ™์€ PSpice Template์„ ์ž…๋ ฅ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฐ€๋Šฅํ•œ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ƒ์„ฑ์ด ๊ฐ€๋Šฅํ•จ.์ด PSpice Template์€ Part Editor์—์„œ ์ˆ˜์ •์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, ๋‹ค์ŒํŽ˜์ด์ง€์—์„œ ์„ค๋ช….

R1์€ Analog.olb์—์„œ R2๋Š” Discreate.olb์˜ ๋‘๊ฐœ์˜ ์ €ํ•ญ์˜์ฐจ์ด์ ์€ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ๊ฐ€๋Šฅํ•จ๊ณผ ๊ทธ๋ ‡์ง€ ์•Š์Œ์„ ๋ณด์—ฌ ์ค€๋‹ค.์œ„์˜ ๊ทธ๋ฆผ ์ค‘ Property Editor์— R1๊ณผ R2์˜ ์†์„ฑ์— PSpiceTemplate์ด ์žˆ๊ณ  ์—†์Œ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ๋‹ค.R2์— R1๊ณผ ๊ฐ™์€ PSpice Template์„ ์ž…๋ ฅ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฐ€๋Šฅํ•œ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋กœ ์ƒ์„ฑ์ด ๊ฐ€๋Šฅํ•จ.์ด PSpice Template์€ Part Editor์—์„œ ์ˆ˜์ •์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, ๋‹ค์ŒํŽ˜์ด์ง€์—์„œ ์„ค๋ช….

R1

1k

R2

R

Analog.olb Discrete.olb

Part Editor

๋ถ€ํ’ˆ ์„ ํƒ ํ›„ ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค์˜ Pop-up Menu๋ถ€ํ’ˆ ์„ ํƒ ํ›„ ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค์˜ Pop-up Menu

Part EditorPart Editor Menu Bar / OptionsMenu Bar / Options

Menu Bar / Options / Part Properties ์‹คํ–‰ ํ™”๋ฉดMenu Bar / Options / Part Properties ์‹คํ–‰ ํ™”๋ฉด

23

45

Part User Properties

์ €ํ•ญ R์˜ User Properties์ €ํ•ญ R์˜ User PropertiesImplementation : ๋ถ€ํ’ˆ์˜ ๊ณ ์œ  ์ด๋ฆ„์ด๋ฉฐ, ํ”„๋กœ๊ทธ๋žจ

์ƒ์—์„œ implementation์˜ ๊ฐœ๋…์€ ์‚ฌ์šฉ์ƒ์— ๋งŽ์€

๋น„์ค‘์„ ์ฐจ์ง€ํ•จ.Part Reference : ๋ถ€ํ’ˆ ์ฐธ์กฐ ๋ฒˆํ˜ธ R? ์ธ ๊ฒฝ์šฐ

R1,R2โ€ฆ๋กœ Schematic ์ž‘์—… ์‹œ ์ž๋™ ์ƒ์„ฑ ๋จ.PSpice Template : PSpice Netlist๋ฅผ ์ƒ์„ฑํ•˜๊ธฐ ์œ„ํ•œ

๋ถ€ํ’ˆ์˜ Part library์˜ Syntax Pin Name & Pin number : ํ•€ ์ด๋ฆ„๊ณผ ๋ฒˆํ˜ธ๋Š” ๊ฐ™์ง€

์•Š๊ฒŒ ์„ค์ • ๋˜ ์žˆ์œผ๋ฉฐ, PSpice Template์—์„œ ์ •์˜์—

์˜ํ•œ ๋…ธ๋“œ ์ด๋ฆ„์€ Pin Name๊ณผ ๊ฐ™๊ฒŒ ์„ค์ •๋จ.

Implementation : ๋ถ€ํ’ˆ์˜ ๊ณ ์œ  ์ด๋ฆ„์ด๋ฉฐ, ํ”„๋กœ๊ทธ๋žจ

์ƒ์—์„œ implementation์˜ ๊ฐœ๋…์€ ์‚ฌ์šฉ์ƒ์— ๋งŽ์€

๋น„์ค‘์„ ์ฐจ์ง€ํ•จ.Part Reference : ๋ถ€ํ’ˆ ์ฐธ์กฐ ๋ฒˆํ˜ธ R? ์ธ ๊ฒฝ์šฐ

R1,R2โ€ฆ๋กœ Schematic ์ž‘์—… ์‹œ ์ž๋™ ์ƒ์„ฑ ๋จ.PSpice Template : PSpice Netlist๋ฅผ ์ƒ์„ฑํ•˜๊ธฐ ์œ„ํ•œ

๋ถ€ํ’ˆ์˜ Part library์˜ Syntax Pin Name & Pin number : ํ•€ ์ด๋ฆ„๊ณผ ๋ฒˆํ˜ธ๋Š” ๊ฐ™์ง€

์•Š๊ฒŒ ์„ค์ • ๋˜ ์žˆ์œผ๋ฉฐ, PSpice Template์—์„œ ์ •์˜์—

์˜ํ•œ ๋…ธ๋“œ ์ด๋ฆ„์€ Pin Name๊ณผ ๊ฐ™๊ฒŒ ์„ค์ •๋จ.

Pin Number Visible ์˜ Value ๊ฐ’์„ True๋กœ ๋ณ€๊ฒฝ ์‹œ Resistor<๋ถ€ํ’ˆ์„ ์ฒ˜์Œ ๋ฐฐ์น˜ ์‹œ ์™ผ์ชฝ ํ•€์ด 1๋ฒˆ ์˜ค๋ฅธ ์ชฝ ํ•€์ด 2๋ฒˆ์œผ๋กœ ์ •์˜ ๋˜์–ด ์žˆ์Œ์„ ํ™•์ธ>Pin Name Visible์˜ Value ๊ฐ’์„ True๋กœ ๋ณ€๊ฒฝ ์‹œ Resistor

Pin Number Visible ์˜ Value ๊ฐ’์„ True๋กœ ๋ณ€๊ฒฝ ์‹œ Resistor<๋ถ€ํ’ˆ์„ ์ฒ˜์Œ ๋ฐฐ์น˜ ์‹œ ์™ผ์ชฝ ํ•€์ด 1๋ฒˆ ์˜ค๋ฅธ ์ชฝ ํ•€์ด 2๋ฒˆ์œผ๋กœ ์ •์˜ ๋˜์–ด ์žˆ์Œ์„ ํ™•์ธ>Pin Name Visible์˜ Value ๊ฐ’์„ True๋กœ ๋ณ€๊ฒฝ ์‹œ Resistor

46

1. PSpice Template ์ˆ˜์ • ์‹œ ์ฃผ์˜ํ•  ์‚ฌํ•ญ1. PSpice Template์˜ ์†์„ฑ์— ์žˆ๋Š” Pin name๊ณผ Order๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ .model๊ณผ .subcircuit์˜ ํ•€ ์ด๋ฆ„๊ณผ ์ˆœ์„œ๊ฐ€ ์ผ์น˜ํ•ด์•ผ ํ•œ๋‹ค. 2. Device์˜ implementation name์˜ ์ฒซ ๋ฌธ์ž๋Š” PSpice A/D์—์„œ ์ง€์ •ํ•˜๋Š” ๋ฌธ์ž๋กœ ํ•ด์•ผ ํ•œ๋‹ค. (Bipolar transistor Q) 3. Simple resistor (R) example <Spice parameter๋ฅผ ํ•„์š”๋กœ ํ•˜์ง€ ์•Š๊ณ , ๋‹จ์ง€ Device ์ •์˜์— ์˜ํ•œ ๊ฐ’์œผ๋กœ ํ‘œํ˜„>

์ €ํ•ญ R์‹ฌ๋ฒŒ์€ 1๋ฒˆ ํ•€๊ณผ 2๋ฒˆ ํ•€ ๋‘๊ฐœ๋กœ ๊ตฌ์„ฑ๋˜์–ด์žˆ๋‹ค. ์ €ํ•ญ์ด Orcad Capture์—์„œ ํ•˜๋‚˜์˜ ๋ถ€ํ’ˆ์œผ๋กœ์„œ ์‚ฌ์šฉ๋˜๊ธฐ ์œ„ํ•ด REFDES์™€ VALUE๊ฐ€ ์š”๊ตฌ๋˜์–ด ์ง„๋‹ค.

1. PSpice Template ์ˆ˜์ • ์‹œ ์ฃผ์˜ํ•  ์‚ฌํ•ญ1. PSpice Template์˜ ์†์„ฑ์— ์žˆ๋Š” Pin name๊ณผ Order๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•œ

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ .model๊ณผ .subcircuit์˜ ํ•€ ์ด๋ฆ„๊ณผ ์ˆœ์„œ๊ฐ€ ์ผ์น˜ํ•ด์•ผ ํ•œ๋‹ค. 2. Device์˜ implementation name์˜ ์ฒซ ๋ฌธ์ž๋Š” PSpice A/D์—์„œ ์ง€์ •ํ•˜๋Š” ๋ฌธ์ž๋กœ ํ•ด์•ผ ํ•œ๋‹ค. (Bipolar transistor Q) 3. Simple resistor (R) example <Spice parameter๋ฅผ ํ•„์š”๋กœ ํ•˜์ง€ ์•Š๊ณ , ๋‹จ์ง€ Device ์ •์˜์— ์˜ํ•œ ๊ฐ’์œผ๋กœ ํ‘œํ˜„>

์ €ํ•ญ R์‹ฌ๋ฒŒ์€ 1๋ฒˆ ํ•€๊ณผ 2๋ฒˆ ํ•€ ๋‘๊ฐœ๋กœ ๊ตฌ์„ฑ๋˜์–ด์žˆ๋‹ค. ์ €ํ•ญ์ด Orcad Capture์—์„œ ํ•˜๋‚˜์˜ ๋ถ€ํ’ˆ์œผ๋กœ์„œ ์‚ฌ์šฉ๋˜๊ธฐ ์œ„ํ•ด REFDES์™€ VALUE๊ฐ€ ์š”๊ตฌ๋˜์–ด ์ง„๋‹ค.

PSpice Template

์˜ˆ๋กœ) ์ €ํ•ญ R์— ๋Œ€ํ•œ PSpice TemplateR^Refdes %1 %2 @Value ์ €ํ•ญ์— ๋Œ€ํ•œ PSpice TemplateTranslate ๋œ Netlist R_R1 1 2 1k ํ•ด์„ : ์ €ํ•ญ R1(R^Refdes)์€ 1๋ฒˆ(%1) ๋…ธ๋“œ์™€ 2๋ฒˆ(%2)๋…ธ๋“œ๋กœ์„œ ์—ฐ๊ฒฐ ๋˜ ์žˆ๊ณ , ๊ทธ ๊ฐ’์€(@Value) 1K์ด๋‹ค.

์˜ˆ๋กœ) ์ €ํ•ญ R์— ๋Œ€ํ•œ PSpice TemplateR^Refdes %1 %2 @Value ์ €ํ•ญ์— ๋Œ€ํ•œ PSpice TemplateTranslate ๋œ Netlist R_R1 1 2 1k ํ•ด์„ : ์ €ํ•ญ R1(R^Refdes)์€ 1๋ฒˆ(%1) ๋…ธ๋“œ์™€ 2๋ฒˆ(%2)๋…ธ๋“œ๋กœ์„œ ์—ฐ๊ฒฐ ๋˜ ์žˆ๊ณ , ๊ทธ ๊ฐ’์€(@Value) 1K์ด๋‹ค.

PSpice Template๋Š” Part netlist entry๋ฅผ ์œ„ํ•œ PSpice syntax๋กœ ์ •์˜ํ•œ๋‹ค.์ฆ‰, PSpice A/D์—์„œ๋Š” Capture์—์„œ ๋ฐฐ์น˜๋œ ๊ฐ ๋ถ€ํ’ˆ์˜ ์—ฐ๊ฒฐ์ •๋ณด๋ฅผ ์ฝ๊ธฐ ์œ„ํ•ด ๊ฐ ๋ถ€ํ’ˆ๋“ค์˜ ์ž„์˜์˜ ์ •์˜๋“ค์ด ํ•„์š”ํ•˜๊ฒŒ

๋˜๋Š”๋ฐ, ์ด๋ฅผ PSpice Template์ด ์—ญํ• ์„ ๋‹ด๋‹นํ•˜๊ณ  ์žˆ๋‹ค.

PSpice Template๋Š” Part netlist entry๋ฅผ ์œ„ํ•œ PSpice syntax๋กœ ์ •์˜ํ•œ๋‹ค.์ฆ‰, PSpice A/D์—์„œ๋Š” Capture์—์„œ ๋ฐฐ์น˜๋œ ๊ฐ ๋ถ€ํ’ˆ์˜ ์—ฐ๊ฒฐ์ •๋ณด๋ฅผ ์ฝ๊ธฐ ์œ„ํ•ด ๊ฐ ๋ถ€ํ’ˆ๋“ค์˜ ์ž„์˜์˜ ์ •์˜๋“ค์ด ํ•„์š”ํ•˜๊ฒŒ

๋˜๋Š”๋ฐ, ์ด๋ฅผ PSpice Template์ด ์—ญํ• ์„ ๋‹ด๋‹นํ•˜๊ณ  ์žˆ๋‹ค.

PSpice TemplatePSpice Template

PSpice Template TranslatePSpice Template Translate

Template ์ˆ˜์ • ์‹œ ์ฃผ์˜ ์‚ฌํ•ญTemplate ์ˆ˜์ • ์‹œ ์ฃผ์˜ ์‚ฌํ•ญ

24

47

TemplateX^@refdes %1 %2 @modelTranslationX_U1 0 vcc 7411์—ฌ๊ธฐ์„œ, MODEL์€ 7411. ๋งŒ์•ฝ Model property๊ฐ€ ์ •์˜ ๋˜ ์žˆ์ง€ ์•Š์€ ๊ฒฝ์šฐ X_U1 0 vcc์™€ ๊ฐ™์ด ํ‘œํ˜„

TemplateR^@refdes %1 %2 ?tolerance|R^@refdes| @value ?tolerance|\n.model R^@refdes R=1 Dev=@tolerance|์—ฌ๊ธฐ์„œ, ToleranceํŠน์„ฑ์ด ์—†๋‹ค๋ฉด ๊ฐ„๋‹จํžˆ R&@refdes %1 %2 @value -or- R_R1 0 vcc 5k์œผ๋กœ ํ‘œํ˜„ ํ•˜๋ฉด ๋˜์ง€๋งŒ, Tolerance์˜ ์„ฑ๋ถ„์„ ์ž…๋ ฅํ•˜๋„๋ก ์ €ํ•ญ์˜ Template์„ ํ‘œํ˜„ํ•˜๋ฉด ์œ„์™€ ๊ฐ™๊ณ  Translation์˜ ๋‚ด์šฉ์œผ๋กœ NetlistํŒŒ์ผ๋กœ ์ƒ์„ฑ๋œ๋‹ค

TemplateX^@refdes %1 %2 @modelTranslationX_U1 0 vcc 7411์—ฌ๊ธฐ์„œ, MODEL์€ 7411. ๋งŒ์•ฝ Model property๊ฐ€ ์ •์˜ ๋˜ ์žˆ์ง€ ์•Š์€ ๊ฒฝ์šฐ X_U1 0 vcc์™€ ๊ฐ™์ด ํ‘œํ˜„

TemplateR^@refdes %1 %2 ?tolerance|R^@refdes| @value ?tolerance|\n.model R^@refdes R=1 Dev=@tolerance|์—ฌ๊ธฐ์„œ, ToleranceํŠน์„ฑ์ด ์—†๋‹ค๋ฉด ๊ฐ„๋‹จํžˆ R&@refdes %1 %2 @value -or- R_R1 0 vcc 5k์œผ๋กœ ํ‘œํ˜„ ํ•˜๋ฉด ๋˜์ง€๋งŒ, Tolerance์˜ ์„ฑ๋ถ„์„ ์ž…๋ ฅํ•˜๋„๋ก ์ €ํ•ญ์˜ Template์„ ํ‘œํ˜„ํ•˜๋ฉด ์œ„์™€ ๊ฐ™๊ณ  Translation์˜ ๋‚ด์šฉ์œผ๋กœ NetlistํŒŒ์ผ๋กœ ์ƒ์„ฑ๋œ๋‹ค.

PSpice Template

Model property exampleModel property example

TranslationR^@refdes %1 %2 R^@refdes @value .model R^@refdes R=1 Dev=@toleranceOrR_R1 0 vcc R_R1 5k .model R_R1 R=1 Dev=5

TranslationR^@refdes %1 %2 R^@refdes @value .model R^@refdes R=1 Dev=@toleranceOrR_R1 0 vcc R_R1 5k .model R_R1 R=1 Dev=5

48

M1

MbreakN

M2

MbreakN

๋‘ Symbol์— ๋Œ€ํ•œ ๋™์ž‘ ํŠน์„ฑ ๋ฐ Spice Parameter๋Š” ๊ฐ™์œผ๋ฉฐ, ๋‹จ์ง€ ํ•€ ์ •๋ณด๋ฅผ PSpice Template์—์„œ ์ œ๊ณตํ•œ๋‹ค.

M1์˜ PSpice Template

M^@REFDES %d %g %s %s @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

M2์˜ PSpice Template

M^@REFDES %d %g %s %b @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

์œ„์—์„œ ๋ณผ ์ˆ˜ ์žˆ๋“ฏ์ด ์ ์ƒ‰์˜ Syntax๋งŒ ์ฐจ์ด๊ฐ€ ์žˆ์„ ๋ฟ์ด๋‹ค. ์ด์™€ ๊ฐ™์ด ๋‘ ๊ฐ€์ง€์˜ ์ฐจ์ด๋Š” Bulk ํ•€์˜ Template syntax์ •์˜์— ์˜ํ•œ ์ฐจ์ด์ด๋ฉฐ, ํ›„ ์— Model Editor์—์„œ ์ œ์ž‘ ๋˜๋Š” Mos์˜ Type์˜ Capture Part๋ฅผ ์ œ์ž‘ ์‹œ 3ํ•€(S, G, D)์˜ Mosfet ๋งŒ Defaultํ•˜๊ฒŒ ์ƒ์„ฑ ๋˜๋ฉฐ, 4ํ•€(S, G, D, B)์˜ Mosfet๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ, ์œ„์˜ PSpice Template์˜ ํ•€์ •๋ณด๋ฅผ ์ˆ˜์ • ํ•˜๊ณ , Part Editor๋ฅผ ์ด์šฉํ•˜์—ฌ Bulk ํ•€์„ ์ƒ์„ฑ ํ›„ ์‚ฌ์šฉํ•˜๊ฒŒ ๋œ๋‹ค.

๋‘ Symbol์— ๋Œ€ํ•œ ๋™์ž‘ ํŠน์„ฑ ๋ฐ Spice Parameter๋Š” ๊ฐ™์œผ๋ฉฐ, ๋‹จ์ง€ ํ•€ ์ •๋ณด๋ฅผ PSpice Template์—์„œ ์ œ๊ณตํ•œ๋‹ค.

M1์˜ PSpice Template

M^@REFDES %d %g %s %s @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

M2์˜ PSpice Template

M^@REFDES %d %g %s %b @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

์œ„์—์„œ ๋ณผ ์ˆ˜ ์žˆ๋“ฏ์ด ์ ์ƒ‰์˜ Syntax๋งŒ ์ฐจ์ด๊ฐ€ ์žˆ์„ ๋ฟ์ด๋‹ค. ์ด์™€ ๊ฐ™์ด ๋‘ ๊ฐ€์ง€์˜ ์ฐจ์ด๋Š” Bulk ํ•€์˜ Template syntax์ •์˜์— ์˜ํ•œ ์ฐจ์ด์ด๋ฉฐ, ํ›„ ์— Model Editor์—์„œ ์ œ์ž‘ ๋˜๋Š” Mos์˜ Type์˜ Capture Part๋ฅผ ์ œ์ž‘ ์‹œ 3ํ•€(S, G, D)์˜ Mosfet ๋งŒ Defaultํ•˜๊ฒŒ ์ƒ์„ฑ ๋˜๋ฉฐ, 4ํ•€(S, G, D, B)์˜ Mosfet๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ, ์œ„์˜ PSpice Template์˜ ํ•€์ •๋ณด๋ฅผ ์ˆ˜์ • ํ•˜๊ณ , Part Editor๋ฅผ ์ด์šฉํ•˜์—ฌ Bulk ํ•€์„ ์ƒ์„ฑ ํ›„ ์‚ฌ์šฉํ•˜๊ฒŒ ๋œ๋‹ค.

๋‘ Symbol์€ ๊ฐ™์€ ๋ชจ๋ธ์˜ N-channel MOSFET์ด๋‹ค.M1์€ Bulk ์˜ Bias๊ฐ€ 0์ธ ๊ฒฝ์šฐ, M2๋Š” Bulk์— ์ž„์˜์˜ Bias๋ฅผ ์ธ๊ฐ€ ํ•˜๊ธฐ

์œ„ํ•œ Symbol์ด๋‹ค.

๋‘ Symbol์€ ๊ฐ™์€ ๋ชจ๋ธ์˜ N-channel MOSFET์ด๋‹ค.M1์€ Bulk ์˜ Bias๊ฐ€ 0์ธ ๊ฒฝ์šฐ, M2๋Š” Bulk์— ์ž„์˜์˜ Bias๋ฅผ ์ธ๊ฐ€ ํ•˜๊ธฐ

์œ„ํ•œ Symbol์ด๋‹ค.

PSpice Template Example

25

49

M1์˜ PSpice TemplateM^@REFDES %d %g %s %s @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

@MODEL ?/L \n+ L=@L์˜ ํ‘œํ˜„์—์„œ L์˜ Model parameter๋ฅผ Capture์˜ Schematic์—์„œ ์ง์ ‘ ์ž…๋ ฅํ•  ์ˆ˜

์žˆ๋„๋ก ์„ค์ •ํ•˜๊ธฐ ์œ„ํ•œ Syntax์ด๋ฉฐ, @MODEL ๋’ค์— ๋‹ค์Œ๊ณผ ๊ฐ™์€ ํ˜•์‹์œผ๋กœ ์ž…๋ ฅ์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

์œ„์˜ ๊ฐ Parameter๋“ค์€ MOSFET์˜ Spice parameter์ด๋ฉฐ, Capture์˜ Schematic์—์„œ Spice parameter๋ฅผ์ˆ˜์ •ํ•˜์—ฌ ์‚ฌ์šฉํ† ๋ก ์ œ์ž‘ ๋˜ ์žˆ์Œ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.

Breakout.olb์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—๋Š” ์œ„์™€ ๊ฐ™์€ ํ˜•์‹์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ œ๊ณตํ•˜๋ฉฐ, ์‚ฌ์šฉ๋˜๋Š” ์ข…๋ฅ˜ ๋ณ„ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ

์ œ๊ณตํ•œ๋‹ค.

M1์˜ PSpice TemplateM^@REFDES %d %g %s %s @MODEL ?L/ \n+ L=@L/ ?W/ \n+ W=@W/ ?AD/ \n+ AD=@AD/ ?AS/ \n+ AS=@AS/ ?PD/ \n+ PD=@PD/ ?PS/ \n+ PS=@PS/ ?NRD/ \n+ NRD=@NRD/ ?NRS/ \n+ NRS=@NRS/ ?NRG/ \n+ NRG=@NRG/ ?NRB/ \n+ NRB=@NRB/ ?M/ \n+ M=@M/

@MODEL ?/L \n+ L=@L์˜ ํ‘œํ˜„์—์„œ L์˜ Model parameter๋ฅผ Capture์˜ Schematic์—์„œ ์ง์ ‘ ์ž…๋ ฅํ•  ์ˆ˜

์žˆ๋„๋ก ์„ค์ •ํ•˜๊ธฐ ์œ„ํ•œ Syntax์ด๋ฉฐ, @MODEL ๋’ค์— ๋‹ค์Œ๊ณผ ๊ฐ™์€ ํ˜•์‹์œผ๋กœ ์ž…๋ ฅ์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

์œ„์˜ ๊ฐ Parameter๋“ค์€ MOSFET์˜ Spice parameter์ด๋ฉฐ, Capture์˜ Schematic์—์„œ Spice parameter๋ฅผ์ˆ˜์ •ํ•˜์—ฌ ์‚ฌ์šฉํ† ๋ก ์ œ์ž‘ ๋˜ ์žˆ์Œ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.

Breakout.olb์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—๋Š” ์œ„์™€ ๊ฐ™์€ ํ˜•์‹์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ œ๊ณตํ•˜๋ฉฐ, ์‚ฌ์šฉ๋˜๋Š” ์ข…๋ฅ˜ ๋ณ„ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ

์ œ๊ณตํ•œ๋‹ค.

M1

MbreakN

M2

MbreakN

PSpice Template Example

50

Bias Analysis Tap Bias Analysis Tap Simulation Flow์˜ ๊ธฐ๋ณธ์ ์ธ ๊ณ„์‚ฐ ๊ณผ์ •์˜ ํ•˜๋‚˜๋กœ ๋…ธ๋“œ์™€

๋””๋ฐ”์ด์Šค์˜ ์ดˆ๊ธฐ์กฐ๊ฑด๊ณผ ์†Œ์Šค์—์„œ ์ œ๊ณต๋˜๋Š” ํšŒ๋กœ์˜ DC bias๋ฅผ

๊ณ„์‚ฐํ•œ๋‹ค.

Simulation Flow์˜ ๊ธฐ๋ณธ์ ์ธ ๊ณ„์‚ฐ ๊ณผ์ •์˜ ํ•˜๋‚˜๋กœ ๋…ธ๋“œ์™€

๋””๋ฐ”์ด์Šค์˜ ์ดˆ๊ธฐ์กฐ๊ฑด๊ณผ ์†Œ์Šค์—์„œ ์ œ๊ณต๋˜๋Š” ํšŒ๋กœ์˜ DC bias๋ฅผ

๊ณ„์‚ฐํ•œ๋‹ค.

New Simulation Profile์„ ์ƒ์„ฑ ํ›„ ๋‚˜ํƒ€๋‚œ Analysis Tap์˜ ๋ถ„์„

Type์„ Bias point๋ฅผ ํด๋ฆญํ•˜๋ฉด, ์™ผ์ชฝ์˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด Output File Option์ด ๋‚˜ํƒ€๋‚˜๋ฉฐ, ๊ฐ ๋ถ„์„ํ•  ๋‚ด์šฉ์„ ์„ค์ •ํ•œ๋‹ค.

New Simulation Profile์„ ์ƒ์„ฑ ํ›„ ๋‚˜ํƒ€๋‚œ Analysis Tap์˜ ๋ถ„์„

Type์„ Bias point๋ฅผ ํด๋ฆญํ•˜๋ฉด, ์™ผ์ชฝ์˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด Output File Option์ด ๋‚˜ํƒ€๋‚˜๋ฉฐ, ๊ฐ ๋ถ„์„ํ•  ๋‚ด์šฉ์„ ์„ค์ •ํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ • ์ €์žฅ ํ›„ PSpice / Run์„ ์‹คํ–‰

๊ฒฐ๊ณผ ๋ณด๊ธฐ : PSpice Probe์ฐฝ์— View Output์„ ํด๋ฆญํ•˜์—ฌ ๊ณ„์‚ฐ๋œ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ • ์ €์žฅ ํ›„ PSpice / Run์„ ์‹คํ–‰

๊ฒฐ๊ณผ ๋ณด๊ธฐ : PSpice Probe์ฐฝ์— View Output์„ ํด๋ฆญํ•˜์—ฌ ๊ณ„์‚ฐ๋œ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

DE Bias Point

Menu / PSpice / New Simulation ProfileMenu / PSpice / New Simulation Profile

V10Vdc

R1

1k

R2

1k

C1

1n

0

Output_nodeinput_node

Voltage?Voltage?

1V 1V

26

51

Include Detailed (.OP) โ€ฆ ๋ฐ˜๋„์ฒด ๋ฐ ๋น„์„ ํ˜• ์ „์›์œผ๋กœ ์ œ์–ด๋˜๋Š” ํšŒ๋กœ์˜ Bias๋ฅผ ์ƒ์„ธํ•˜๊ฒŒ ์ถœ๋ ฅ

Sensitivity analysis (.SENS) โ€ฆ ์ž…๋ ฅ ์ „์›์˜ ๋ณ€ํ™”์— ๋Œ€ํ•œ R2์–‘๋‹จ์˜ ๋ฏผ๊ฐ๋„๋ฅผ ํ•ด์„.

Include Detailed (.OP) โ€ฆ ๋ฐ˜๋„์ฒด ๋ฐ ๋น„์„ ํ˜• ์ „์›์œผ๋กœ ์ œ์–ด๋˜๋Š” ํšŒ๋กœ์˜ Bias๋ฅผ ์ƒ์„ธํ•˜๊ฒŒ ์ถœ๋ ฅ

Sensitivity analysis (.SENS) โ€ฆ ์ž…๋ ฅ ์ „์›์˜ ๋ณ€ํ™”์— ๋Œ€ํ•œ R2์–‘๋‹จ์˜ ๋ฏผ๊ฐ๋„๋ฅผ ํ•ด์„.

DC SENSITIVITIES OF OUTPUT V(R_R2)

ELEMENT ELEMENT ELEMENT NORMALIZED

NAME VALUE SENSITIVITY SENSITIVITY

(VOLTS/UNIT) (VOLTS/PERCENT)

R_R1 1.000E+03 -1.250E-03 -1.250E-02

R_R2 1.000E+03 1.250E-03 1.250E-02

V_V1 5.000E+00 5.000E-01 2.500E-02(๋ฐฑ๋ถ„์œจ ํ‘œํ˜„)

V_V1์ด 1V ๋ณ€ํ™”์— ๋Œ€ํ•ด์„œ R2์˜ ์ „์••์€ 0.5V์‹ ๋ณ€ํ™”ํ•จ์„ ๋‚˜ํƒ€๋‚ธ๋‹ค.

V(R2) = R2/(R1+R2)* V1 R2์— ๋Œ€ํ•œ ์ „์•• ๊ด€๊ณ„์‹

R2์— ๋Œ€ํ•œ V(R2)์˜ ๋ฏผ๊ฐ๋„ 0.00125

DC SENSITIVITIES OF OUTPUT V(R_R2)

ELEMENT ELEMENT ELEMENT NORMALIZED

NAME VALUE SENSITIVITY SENSITIVITY

(VOLTS/UNIT) (VOLTS/PERCENT)

R_R1 1.000E+03 -1.250E-03 -1.250E-02

R_R2 1.000E+03 1.250E-03 1.250E-02

V_V1 5.000E+00 5.000E-01 2.500E-02(๋ฐฑ๋ถ„์œจ ํ‘œํ˜„)

V_V1์ด 1V ๋ณ€ํ™”์— ๋Œ€ํ•ด์„œ R2์˜ ์ „์••์€ 0.5V์‹ ๋ณ€ํ™”ํ•จ์„ ๋‚˜ํƒ€๋‚ธ๋‹ค.

V(R2) = R2/(R1+R2)* V1 R2์— ๋Œ€ํ•œ ์ „์•• ๊ด€๊ณ„์‹

R2์— ๋Œ€ํ•œ V(R2)์˜ ๋ฏผ๊ฐ๋„ 0.00125

Small-signal DC Gain (.TF) โ€ฆ์ž…์ถœ๋ ฅ์— ๋Œ€ํ•œ DC Gain์„ ์ถœ๋ ฅ ๊ฐ ๋…ธ๋“œ์—์„œ์˜ ์ž„ํ”ผ๋˜์Šค ํ•ด์„.Small-signal DC Gain (.TF) โ€ฆ์ž…์ถœ๋ ฅ์— ๋Œ€ํ•œ DC Gain์„ ์ถœ๋ ฅ ๊ฐ ๋…ธ๋“œ์—์„œ์˜ ์ž„ํ”ผ๋˜์Šค ํ•ด์„.

๊ฒฐ๊ณผ ํ™•์ธ : PSpice Probe Window / View / Output๊ฒฐ๊ณผ ํ™•์ธ : PSpice Probe Window / View / Output

Bias Point Calculation

52

- Small-signal DC Gain (.TF) โ€ฆ. ์ž…์ถœ๋ ฅ์— ๋Œ€ํ•œ DC Gain์„ ์ถœ๋ ฅ ๊ฐ ๋…ธ๋“œ์—์„œ์˜ ์ž„ํ”ผ๋˜์Šค ํ•ด์„.- Small-signal DC Gain (.TF) โ€ฆ. ์ž…์ถœ๋ ฅ์— ๋Œ€ํ•œ DC Gain์„ ์ถœ๋ ฅ ๊ฐ ๋…ธ๋“œ์—์„œ์˜ ์ž„ํ”ผ๋˜์Šค ํ•ด์„.

SMALL-SIGNAL CHARACTERISTICSV(R_R3)/V_V1 = -1.000E+01INPUT RESISTANCE AT V_V1 = 9.999E+02OUTPUT RESISTANCE AT V(R_R3) = -8.392E-03

SMALL-SIGNAL CHARACTERISTICSV(R_R3)/V_V1 = -1.000E+01INPUT RESISTANCE AT V_V1 = 9.999E+02OUTPUT RESISTANCE AT V(R_R3) = -8.392E-03

์ „๋‹ฌํ•จ์ˆ˜๋ฅผ ๊ณ„์‚ฐํ•˜๊ธฐ ์œ„ํ•ด Bias point์˜ Small-

signal DC Gain์„ ์„ ํƒ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜๋ฉด

๋‹ค์Œ๊ณผ ๊ฐ™์€ ๊ณ„์‚ฐ ๊ฒฐ๊ณผ๊ฐ€ ์ถœ๋ ฅ๋œ๋‹ค.

Output ํŒŒ์ผ์— ๋‚˜ํƒ€๋‚œ V(R_R2)/V_V1์€ ์ž…๋ ฅ ๋Œ€

์ถœ๋ ฅ์˜ DC Gain์„ ์˜๋ฏธ ํ•˜๋ฉฐ ์ž…๋ ฅ 1V์— ๋Œ€ํ•˜์—ฌ

์ถœ๋ ฅ ์ „์•• -10V๋ฅผ ์˜๋ฏธํ•œ๋‹ค.

์ž…๋ ฅ ์ž„ํ”ผ๋˜์Šค 0.9999K,

R3์—์„œ ๋ณธ ์ž„ํ”ผ๋˜์Šค โ€“8.392K์ž„์„ ์˜๋ฏธ.

์ „๋‹ฌํ•จ์ˆ˜๋ฅผ ๊ณ„์‚ฐํ•˜๊ธฐ ์œ„ํ•ด Bias point์˜ Small-

signal DC Gain์„ ์„ ํƒ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜๋ฉด

๋‹ค์Œ๊ณผ ๊ฐ™์€ ๊ณ„์‚ฐ ๊ฒฐ๊ณผ๊ฐ€ ์ถœ๋ ฅ๋œ๋‹ค.

Output ํŒŒ์ผ์— ๋‚˜ํƒ€๋‚œ V(R_R2)/V_V1์€ ์ž…๋ ฅ ๋Œ€

์ถœ๋ ฅ์˜ DC Gain์„ ์˜๋ฏธ ํ•˜๋ฉฐ ์ž…๋ ฅ 1V์— ๋Œ€ํ•˜์—ฌ

์ถœ๋ ฅ ์ „์•• -10V๋ฅผ ์˜๋ฏธํ•œ๋‹ค.

์ž…๋ ฅ ์ž„ํ”ผ๋˜์Šค 0.9999K,

R3์—์„œ ๋ณธ ์ž„ํ”ผ๋˜์Šค โ€“8.392K์ž„์„ ์˜๋ฏธ.

PSpice Probe Window / View / OutputPSpice Probe Window / View / Output

U2uA741

+3

-2

V+7

V-4

OUT 6

OS1 1

OS2 5

VCC

VEE

V2+15

V3-15V

VCC VEE

0

R2 10K

R1

1k

R41k

0

0

V11Vdc

V

V

R31k

0

Small Signal DC Gain (.TF)

27

53

ERROR [NET0075] Unconnected pin, no FLOAT property or FLOAT = e R3 pin '1'

ERROR [NET0011] Netlist failed or may be unusable

ERROR -- Node N00255 is floating

ERROR -- Node N00317 is floating

ERROR [NET0075] Unconnected pin, no FLOAT property or FLOAT = e R3 pin '1'

ERROR [NET0011] Netlist failed or may be unusable

ERROR -- Node N00255 is floating

ERROR -- Node N00317 is floating

Floating Error โ€“ Ground ์„ค์ •์„ ์ž˜๋ชป ํ•œ ๊ฒฝ์šฐ ๋ฐœ์ƒFloating Error โ€“ Ground ์„ค์ •์„ ์ž˜๋ชป ํ•œ ๊ฒฝ์šฐ ๋ฐœ์ƒ

1. WARNING [NET0093] No PSpiceTemplate for Q1, ignoring

ERROR [NET0011] Netlist failed or may be unusable.

2. Warning : Part Part_name has no simulation model.

1. WARNING [NET0093] No PSpiceTemplate for Q1, ignoring

ERROR [NET0011] Netlist failed or may be unusable.

2. Warning : Part Part_name has no simulation model.

Capture์šฉ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•˜์˜€์„ ๊ฒฝ์šฐ ๋˜๋Š” Simulation๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š์•˜์„ ๊ฒฝ์šฐ ๋ฐœ์ƒ๋˜๋Š” ErrorCapture์šฉ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•˜์˜€์„ ๊ฒฝ์šฐ ๋˜๋Š” Simulation๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š์•˜์„ ๊ฒฝ์šฐ ๋ฐœ์ƒ๋˜๋Š” Error

์ด์™€ ๊ฐ™์ด Symbol์šฉ ์ ‘์ง€๋ฅผ ์‚ฌ์šฉํ•œ ๊ฒฝ์šฐ Float๋ผ๋Š” Error๊ฐ€ ๋ฐœ์ƒ ๋˜๋ฉฐ, ์ด๊ฒƒ์€

Place / Ground 0 / Source๋กœ Replaceํ•œ๋‹ค.

Error๊ฐ€ ๋ฐœ์ƒ๋œ ์ด์œ ๋Š” Spice Netlist๋กœ ์ฝํ˜€์ ธ์•ผ ํ•  ์ ‘์ง€์˜ Node name์ด

Zero๊ฐ€ ์•„๋‹Œ GND ๋ฐ Field Signal๋กœ ์ธ์‹๋˜์–ด ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ํ•ด์•ผ ํ•  loop๋ฅผ

ํ˜•์„ฑํ•˜์ง€ ๋ชปํ•˜๊ธฐ ๋•Œ๋ฌธ.

ํ•˜์ง€๋งŒ, ๊ทธ๋ฆผ๊ณผ ๊ฐ™์€ Symbol์„ ์‚ฌ์šฉํ•˜๋ฉด์„œ Netlist์ƒ์„ฑ์‹œ 0 ์˜ ๋…ธ๋“œ๋ฅผ ์ƒ์„ฑ๊ธฐ๊ธฐ

์œ„ํ•ด GND ์˜ Name์„ 0๋กœ ๋ฐ”๊พธ๋ฉด ์‚ฌ์šฉ์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

์ด์™€ ๊ฐ™์ด Symbol์šฉ ์ ‘์ง€๋ฅผ ์‚ฌ์šฉํ•œ ๊ฒฝ์šฐ Float๋ผ๋Š” Error๊ฐ€ ๋ฐœ์ƒ ๋˜๋ฉฐ, ์ด๊ฒƒ์€

Place / Ground 0 / Source๋กœ Replaceํ•œ๋‹ค.

Error๊ฐ€ ๋ฐœ์ƒ๋œ ์ด์œ ๋Š” Spice Netlist๋กœ ์ฝํ˜€์ ธ์•ผ ํ•  ์ ‘์ง€์˜ Node name์ด

Zero๊ฐ€ ์•„๋‹Œ GND ๋ฐ Field Signal๋กœ ์ธ์‹๋˜์–ด ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ํ•ด์•ผ ํ•  loop๋ฅผ

ํ˜•์„ฑํ•˜์ง€ ๋ชปํ•˜๊ธฐ ๋•Œ๋ฌธ.

ํ•˜์ง€๋งŒ, ๊ทธ๋ฆผ๊ณผ ๊ฐ™์€ Symbol์„ ์‚ฌ์šฉํ•˜๋ฉด์„œ Netlist์ƒ์„ฑ์‹œ 0 ์˜ ๋…ธ๋“œ๋ฅผ ์ƒ์„ฑ๊ธฐ๊ธฐ

์œ„ํ•ด GND ์˜ Name์„ 0๋กœ ๋ฐ”๊พธ๋ฉด ์‚ฌ์šฉ์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

Simulation Error1

54

ERROR -- Node N00683 is floatingERROR -- Node N00723 is floating

ERROR -- Node N00683 is floatingERROR -- Node N00723 is floating

์ด๋Š” DCํ•ด์„ ์‹œ Capacitor๋ฅผ Open ํ•ด์„ ํ•จ์œผ๋กœ error์˜ ์ „์••์„ ์ •์˜ํ•˜์ง€ ๋ชปํ•˜๊ณ  floating์ƒํƒœ์ด๊ธฐ ๋•Œ๋ฌธ์—

์‹œ๋ฎฌ๋ ˆ์ด์…˜์— ๊ณ„์‚ฐ์ƒ ์ „ํ˜€ ์˜ํ–ฅ์„ ์ฃผ์ง€ ์•Š๋Š” ์ €ํ•ญ์„ ์•„๋ž˜์™€ ๊ฐ™์ด ์—ฐ๊ฒฐํ•˜์—ฌ ํ•ด๊ฒฐํ•œ๋‹ค.

์ด๋Š” DCํ•ด์„ ์‹œ Capacitor๋ฅผ Open ํ•ด์„ ํ•จ์œผ๋กœ error์˜ ์ „์••์„ ์ •์˜ํ•˜์ง€ ๋ชปํ•˜๊ณ  floating์ƒํƒœ์ด๊ธฐ ๋•Œ๋ฌธ์—

์‹œ๋ฎฌ๋ ˆ์ด์…˜์— ๊ณ„์‚ฐ์ƒ ์ „ํ˜€ ์˜ํ–ฅ์„ ์ฃผ์ง€ ์•Š๋Š” ์ €ํ•ญ์„ ์•„๋ž˜์™€ ๊ฐ™์ด ์—ฐ๊ฒฐํ•˜์—ฌ ํ•ด๊ฒฐํ•œ๋‹ค.

V110V

R1

1kR21k

C1

1nC21n

C3

1n

L1

10uH1 2

00 0

error

V110V

R1

1kR21k

C1

1nC21n

C3

1n

L1

10uH1 2

00 0

error

R3

1G

์ „์••์›-์ธ๋•ํ„ฐ, ์ „๋ฅ˜์›-์ปคํŒจ์‹œํ„ฐ๋งŒ ์—ฐ๊ฒฐํ•œ ๊ฒฝ์šฐ, ๋˜๋Š” ์ธ๋•ํ„ฐ ์ง๋ ฌ ๋ฐฐ์น˜ํ•œ ๊ฒฝ์šฐ๋„ ๊ฐ™์€ Error ๋ฐœ์ƒ

PSpice์—์„œ์˜ ํšŒ๋กœ๋‚ด์˜ Open์€ 1G์˜ด์œผ๋กœ ๋Œ€์น˜๋˜๋ฉฐ, Short๋Š” 0.01์˜ด์œผ๋กœ ๋Œ€์น˜ ์‹œ์ผœ ๊ณ„์‚ฐ์— ์ ์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

์ „์••์›-์ธ๋•ํ„ฐ, ์ „๋ฅ˜์›-์ปคํŒจ์‹œํ„ฐ๋งŒ ์—ฐ๊ฒฐํ•œ ๊ฒฝ์šฐ, ๋˜๋Š” ์ธ๋•ํ„ฐ ์ง๋ ฌ ๋ฐฐ์น˜ํ•œ ๊ฒฝ์šฐ๋„ ๊ฐ™์€ Error ๋ฐœ์ƒ

PSpice์—์„œ์˜ ํšŒ๋กœ๋‚ด์˜ Open์€ 1G์˜ด์œผ๋กœ ๋Œ€์น˜๋˜๋ฉฐ, Short๋Š” 0.01์˜ด์œผ๋กœ ๋Œ€์น˜ ์‹œ์ผœ ๊ณ„์‚ฐ์— ์ ์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

Simulation Error2

28

55

ERROR -- Voltage source and/or inductor loop involving V_V2You may break the loop by adding a series resistance

ERROR -- Voltage source and/or inductor loop involving V_V2You may break the loop by adding a series resistance

I10Adc

C1 1n

0

R2 1G

V10Vdc

L1

10uH1 2

0

I10Adc

C1 1n

0

V10Vdc

L1

10uH1 2

0

R1

0.01

Simulation Error3

56

Simulation Profile Window

๊ธฐ๋ณธ ๋ถ„์„ ์ข…๋ฅ˜ ๋ฐ๊ทธ์— ๋”ฐ๋ฅธ ์„ค์ •ํ•ญ๋ชฉ์ด ์ˆ˜๋ก ๋˜์žˆ์œผ๋ฉฐ, ๊ธฐ๋ณธ๋ถ„์„์—๋Œ€ํ•œ Optional ๋ถ„์„๊ธฐ๋Šฅ ํฌํ•จ

DC, AC, Tran, Bias

๊ธฐ๋ณธ ๋ถ„์„ ์ข…๋ฅ˜ ๋ฐ๊ทธ์— ๋”ฐ๋ฅธ ์„ค์ •ํ•ญ๋ชฉ์ด ์ˆ˜๋ก ๋˜์žˆ์œผ๋ฉฐ, ๊ธฐ๋ณธ๋ถ„์„์—๋Œ€ํ•œ Optional ๋ถ„์„๊ธฐ๋Šฅ ํฌํ•จ

DC, AC, Tran, Bias

Simulation Profile์˜

์ผ๋ฐ˜์ ์ธ ์ •๋ณด ์ˆ˜๋ก

Simulation Profile์˜

์ผ๋ฐ˜์ ์ธ ์ •๋ณด ์ˆ˜๋ก

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ด€๋ฆฌ Tab๋“ฑ๋ก / ํŽธ์ง‘ / ๋ณ€๊ฒฝ๊ธฐ๋Šฅ

์‚ฌ์šฉ ํ™˜๊ฒฝ ์„ค์ •

์ž‘์—… ํ”„๋กœ์ ํŠธ ๋ฐ

Global ํ”„๋กœ์ ํŠธ

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๊ด€๋ฆฌ Tab๋“ฑ๋ก / ํŽธ์ง‘ / ๋ณ€๊ฒฝ๊ธฐ๋Šฅ

์‚ฌ์šฉ ํ™˜๊ฒฝ ์„ค์ •

์ž‘์—… ํ”„๋กœ์ ํŠธ ๋ฐ

Global ํ”„๋กœ์ ํŠธ

Stimulus ๊ด€๋ฆฌ Tab ๋“ฑ๋ก / ํŽธ์ง‘ / ๋ณ€๊ฒฝ๊ธฐ๋Šฅ , ์‚ฌ์šฉ ๋ฒ”์œ„ ์„ค์ • ๊ธฐ๋Šฅ

Stimulus ๊ด€๋ฆฌ Tab ๋“ฑ๋ก / ํŽธ์ง‘ / ๋ณ€๊ฒฝ๊ธฐ๋Šฅ , ์‚ฌ์šฉ ๋ฒ”์œ„ ์„ค์ • ๊ธฐ๋Šฅ PSpice์˜ ๊ฒฐ๊ณผ์— ๋Œ€ํ•œ ์ •ํ™•๋„๋ฅผ ์ž…๋ ฅํ•˜๋Š”

Option Analog SimulationGate level SimulationOutput File ๋กœ ๊ตฌ๋ถ„

PSpice์˜ ๊ฒฐ๊ณผ์— ๋Œ€ํ•œ ์ •ํ™•๋„๋ฅผ ์ž…๋ ฅํ•˜๋Š”Option Analog SimulationGate level SimulationOutput File ๋กœ ๊ตฌ๋ถ„

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ์‹œ

์ €์žฅ๋˜๋Š” ๋ฐ์ดํ„ฐ ๋ชฉ๋ก

์„ค์ •

Voltage, Current, Power, Digital, Noise

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ์‹œ

์ €์žฅ๋˜๋Š” ๋ฐ์ดํ„ฐ ๋ชฉ๋ก

์„ค์ •

Voltage, Current, Power, Digital, Noise

PSpice Probe ์ฐฝ์˜

๊ฒฐ๊ณผ์— ๋Œ€ํ•œ Display ํ•ญ๋ชฉ ์„ค์ •

PSpice Probe ์ฐฝ์˜

๊ฒฐ๊ณผ์— ๋Œ€ํ•œ Display ํ•ญ๋ชฉ ์„ค์ •

29

57

Simulation Option/ Analysis Tab

Main Analysis TypeTime Domain(Transient)DC SweepAC Weep / NoiseBias Point

Main Analysis TypeTime Domain(Transient)DC SweepAC Weep / NoiseBias Point

Analysis Type์˜ ๊ธฐ๋ณธ ์„ค์ •์— ๋”ฐ๋ฅธ

Optional Analysis ์„ค์ •

Monte Carlo / Worst CaseTemperature (Sweep)Parametric SweepSecondary Sweep(DC Sweep Only)

Analysis Type์˜ ๊ธฐ๋ณธ ์„ค์ •์— ๋”ฐ๋ฅธ

Optional Analysis ์„ค์ •

Monte Carlo / Worst CaseTemperature (Sweep)Parametric SweepSecondary Sweep(DC Sweep Only)

์„ ํƒ ๋œ Analysis Type์— ๋Œ€ํ•œ ์ƒ์„ธ ๋‚ด์šฉ์˜ ๋ถ„์„

์„ค์ • Window

์„ ํƒ ๋œ Analysis Type์— ๋Œ€ํ•œ ์ƒ์„ธ ๋‚ด์šฉ์˜ ๋ถ„์„

์„ค์ • Window

58

DC sweep Exam1 (Schematic Entry & Simulation Setting)

V110V

R1

1k

R21k

C11n

0

21

ํšŒ๋กœ๋„ ์ž‘์„ฑ

1. ๋ถ€ํ’ˆ ๋ฐฐ์น˜ (P)Place part (VDC/Source.olb R/Analog.olb C / Analog.olb)Place Ground ( 0 / Source )

2. ๋ฐฐ์„  ์ž‘์—… (W) Place Wire3. Place Net alias (N)4. ์†Œ์ž ๊ฐ’ ๋ณ€๊ฒฝ (๋ถ€ํ’ˆ ํด๋ฆญ ํ›„ ์˜ค๋ฅธ์ชฝ ๋งˆ์šฐ์Šค ์ด์šฉ)

๋ถ€ํ’ˆ ์„ ํƒ ํ›„ Properties Editor์—์„œ ์†Œ์ž ๊ฐ’ ์ˆ˜์ •

ํšŒ๋กœ๋„ ์ž‘์„ฑ

1. ๋ถ€ํ’ˆ ๋ฐฐ์น˜ (P)Place part (VDC/Source.olb R/Analog.olb C / Analog.olb)Place Ground ( 0 / Source )

2. ๋ฐฐ์„  ์ž‘์—… (W) Place Wire3. Place Net alias (N)4. ์†Œ์ž ๊ฐ’ ๋ณ€๊ฒฝ (๋ถ€ํ’ˆ ํด๋ฆญ ํ›„ ์˜ค๋ฅธ์ชฝ ๋งˆ์šฐ์Šค ์ด์šฉ)

๋ถ€ํ’ˆ ์„ ํƒ ํ›„ Properties Editor์—์„œ ์†Œ์ž ๊ฐ’ ์ˆ˜์ •

1. ์„ค์ • ํŒŒ์ผ ์ƒ์„ฑ (PSpice / New Simulation Profile)2. DC Sweep ( V1 0 ~ 10V / 0.5V )

.DC LIN V_V1 0 10 0.5 3. ๋งˆ์ปค ๋ฐฐ์น˜(Marker ๋ฐฐ์น˜ : PSpice / Markers)4. Run Simulation (PSpice / Run)

1. ์„ค์ • ํŒŒ์ผ ์ƒ์„ฑ (PSpice / New Simulation Profile)2. DC Sweep ( V1 0 ~ 10V / 0.5V )

.DC LIN V_V1 0 10 0.5 3. ๋งˆ์ปค ๋ฐฐ์น˜(Marker ๋ฐฐ์น˜ : PSpice / Markers)4. Run Simulation (PSpice / Run)

Schematic Entry (ํšŒ๋กœ๋„ ์ž‘์„ฑ)Schematic Entry (ํšŒ๋กœ๋„ ์ž‘์„ฑ)

Simulation Setting(ํšŒ๋กœ๋„ ๋ถ„์„ ์„ค์ •)Simulation Setting(ํšŒ๋กœ๋„ ๋ถ„์„ ์„ค์ •)

Voltage Level ๋…ธ๋“œ ์ „์••(๋…ธ๋“œ ์œ„ ๋ฐฐ์น˜)Voltage Level ๋…ธ๋“œ ์ „์••(๋…ธ๋“œ ์œ„ ๋ฐฐ์น˜)

Voltage Differential ๋…ธ๋“œ๊ฐ„์˜ ์ „์••์ฐจVoltage Differential ๋…ธ๋“œ๊ฐ„์˜ ์ „์••์ฐจ

Current Into Pin ์ „๋ฅ˜ ๊ณ„์‚ฐ(ํ•€ ๋ ๋ฐฐ์น˜)Current Into Pin ์ „๋ฅ˜ ๊ณ„์‚ฐ(ํ•€ ๋ ๋ฐฐ์น˜)

Power Dissipation ์ „๋ ฅ์†Œ๋น„๊ณ„์‚ฐ (์†Œ์ž ์ค‘์•™์— ๋ฐฐ์น˜)

Power Dissipation ์ „๋ ฅ์†Œ๋น„๊ณ„์‚ฐ (์†Œ์ž ์ค‘์•™์— ๋ฐฐ์น˜)

VV

I(current)I(current)

W(watt)W(watt)

VV

30

59

Sweep variable : ๋ณ€ํ™”์‹œํ‚ฌ ๋ณ€์ˆ˜๋ช…์„ ์ž…๋ ฅํ•œ๋‹ค. (ํšŒ๋กœ์ƒ์— ์žˆ๋Š” DC์ „์›, ์ „๋ฅ˜ , ์†Œ์ž , ๋ชจ๋ธ ๋“ฑ์˜ Referencename์„ ์ž…๋ ฅํ•œ๋‹ค.)

Sweep type : ๋ณ€ํ™”์‹œํ‚ฌ ๋ณ€์ˆ˜์— ๋Œ€ํ•œ Value๋ฅผ ์กฐ๊ฑด๊ณผ ๊ฐ™์ด ์ž…๋ ฅํ•œ๋‹ค.๋ณ€ํ™” ์ฆ๊ฐ€๋ถ„์—๋Š” Linear์™€ Logarithmic์ด

์žˆ๊ณ  Logarithmic์—๋Š” Decade๋‹น point๋ฅผ ์ž…๋ ฅํ•œ๋‹ค.Value list๋ฅผ ์„ ํƒํ•  ๊ฒฝ์šฐ์—๋Š” ํŠน์ • ๊ฐ’์„ ์ž…๋ ฅํ•œ๋‹ค.

Sweep variable : ๋ณ€ํ™”์‹œํ‚ฌ ๋ณ€์ˆ˜๋ช…์„ ์ž…๋ ฅํ•œ๋‹ค. (ํšŒ๋กœ์ƒ์— ์žˆ๋Š” DC์ „์›, ์ „๋ฅ˜ , ์†Œ์ž , ๋ชจ๋ธ ๋“ฑ์˜ Referencename์„ ์ž…๋ ฅํ•œ๋‹ค.)

Sweep type : ๋ณ€ํ™”์‹œํ‚ฌ ๋ณ€์ˆ˜์— ๋Œ€ํ•œ Value๋ฅผ ์กฐ๊ฑด๊ณผ ๊ฐ™์ด ์ž…๋ ฅํ•œ๋‹ค.๋ณ€ํ™” ์ฆ๊ฐ€๋ถ„์—๋Š” Linear์™€ Logarithmic์ด

์žˆ๊ณ  Logarithmic์—๋Š” Decade๋‹น point๋ฅผ ์ž…๋ ฅํ•œ๋‹ค.Value list๋ฅผ ์„ ํƒํ•  ๊ฒฝ์šฐ์—๋Š” ํŠน์ • ๊ฐ’์„ ์ž…๋ ฅํ•œ๋‹ค.

Name : Sweep Variable์˜ Reference name์„

์ž…๋ ฅ

Model Type : Sweepํ•  ๋ชจ๋ธ Type์„ ์„ ํƒ

(์˜ˆ, Tr์ธ ๊ฒฝ์šฐ โ€“ NPN,PNP Type์„ ์„ ํƒ)Model : ๋„๋ฉด์— ์‚ฌ์šฉ๋œ ๋ชจ๋ธ์˜ Part

(Implementation) Name์„ ์ž…๋ ฅ

Parameter : Model์ธ ๊ฒฝ์šฐ Spice parameterGlobal parameter์ธ ๊ฒฝ์šฐ ์ธ์œ„์ ์œผ๋กœ

์„ค์ •ํ•œ parameter๋ฅผ ์ž…๋ ฅ

Name : Sweep Variable์˜ Reference name์„

์ž…๋ ฅ

Model Type : Sweepํ•  ๋ชจ๋ธ Type์„ ์„ ํƒ

(์˜ˆ, Tr์ธ ๊ฒฝ์šฐ โ€“ NPN,PNP Type์„ ์„ ํƒ)Model : ๋„๋ฉด์— ์‚ฌ์šฉ๋œ ๋ชจ๋ธ์˜ Part

(Implementation) Name์„ ์ž…๋ ฅ

Parameter : Model์ธ ๊ฒฝ์šฐ Spice parameterGlobal parameter์ธ ๊ฒฝ์šฐ ์ธ์œ„์ ์œผ๋กœ

์„ค์ •ํ•œ parameter๋ฅผ ์ž…๋ ฅ

Simulation Setting : DC Sweep

60

์ขŒํ‘œ๋ฅผ ํ‘œ์‹œํ•˜๋Š” 2์ฐจ์› ๋ฉด์„ PSpice์—์„œ๋Š” Plot์ด๋ผ ํ•˜๋ฉฐ

ํŒŒํ˜•์„ Trace๋ผ ํ•œ๋‹ค. ๋•Œ๋ฌธ์— ์—ฌ๋Ÿฌ ํŒŒํ˜•์„ ํ•˜๋‚˜์˜ Plot์— ๋˜๋Š” ์ƒˆ๋กœ์šด Plot์„ ์ถ”๊ฐ€ํ•˜์—ฌ

๋ณ„๊ฐœ์˜ ํŒŒํ˜•๋งŒ ๋…๋ฆฝ์ ์œผ๋กœ Displayํ•  ๊ฒฝ์šฐ ์œ ๋™์ ์œผ๋กœ ๊ฐ ๊ธฐ๋Šฅ

๋“ค์„ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

์ขŒํ‘œ๋ฅผ ํ‘œ์‹œํ•˜๋Š” 2์ฐจ์› ๋ฉด์„ PSpice์—์„œ๋Š” Plot์ด๋ผ ํ•˜๋ฉฐ

ํŒŒํ˜•์„ Trace๋ผ ํ•œ๋‹ค. ๋•Œ๋ฌธ์— ์—ฌ๋Ÿฌ ํŒŒํ˜•์„ ํ•˜๋‚˜์˜ Plot์— ๋˜๋Š” ์ƒˆ๋กœ์šด Plot์„ ์ถ”๊ฐ€ํ•˜์—ฌ

๋ณ„๊ฐœ์˜ ํŒŒํ˜•๋งŒ ๋…๋ฆฝ์ ์œผ๋กœ Displayํ•  ๊ฒฝ์šฐ ์œ ๋™์ ์œผ๋กœ ๊ฐ ๊ธฐ๋Šฅ

๋“ค์„ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

<Plot ์ถ”๊ฐ€>Menu / Plot / Add plot to windows๋กœ Plot๋ฅผ ์ถ”๊ฐ€ ํ•˜๊ณ  Trace name์„ ์„ ํƒ(์ ์ƒ‰๋ณ€ํ™˜) ํ›„Window๊ธฐ๋Šฅ์ธ Ctrl +C(Copy), X(Cut) ์‹คํ–‰, ์ƒˆ๋กœ ์ƒ์„ฑ์‹œํ‚จ Plot์„ ํƒ(Sel>> ์ด๋™) ์ดํ›„ Ctrl + V(Paste)<Y์ถ• ์ƒ์„ฑ>Menu / Plot / Add Y axis๋กœ Y์ถ• ์ƒ์„ฑ ํ›„ Trace name์„ ํƒ(์ ์ƒ‰๋ณ€ํ™˜)Window๊ธฐ๋Šฅ์ธ Ctrl + C(Copy),X(Cut) ์‹คํ–‰, ์ƒˆ๋กœ ์ƒ์„ฑ ์‹œํ‚จ Y ์ถ• ํด๋ฆญ(Sel>> ์ด๋™) ์ดํ›„ Ctrl + V(Paste)

<Plot ์ถ”๊ฐ€>Menu / Plot / Add plot to windows๋กœ Plot๋ฅผ ์ถ”๊ฐ€ ํ•˜๊ณ  Trace name์„ ์„ ํƒ(์ ์ƒ‰๋ณ€ํ™˜) ํ›„Window๊ธฐ๋Šฅ์ธ Ctrl +C(Copy), X(Cut) ์‹คํ–‰, ์ƒˆ๋กœ ์ƒ์„ฑ์‹œํ‚จ Plot์„ ํƒ(Sel>> ์ด๋™) ์ดํ›„ Ctrl + V(Paste)<Y์ถ• ์ƒ์„ฑ>Menu / Plot / Add Y axis๋กœ Y์ถ• ์ƒ์„ฑ ํ›„ Trace name์„ ํƒ(์ ์ƒ‰๋ณ€ํ™˜)Window๊ธฐ๋Šฅ์ธ Ctrl + C(Copy),X(Cut) ์‹คํ–‰, ์ƒˆ๋กœ ์ƒ์„ฑ ์‹œํ‚จ Y ์ถ• ํด๋ฆญ(Sel>> ์ด๋™) ์ดํ›„ Ctrl + V(Paste)

์ด์™€ ๊ฐ™์€ ๊ฒฝ์šฐ Plot์„ ์ถ”๊ฐ€ ํ•˜๊ฑฐ๋‚˜ Plot์˜ Y์ถ•์„ ํฌ๊ธฐ ๋‹จ์œ„๊ฐ€ ํ‹€๋ฆฐ ๊ฒƒ์œผ๋กœ ํ•˜๋‚˜ ๋” ์ถ”๊ฐ€ ๊ธฐ๋Šฅ์„ ๊ฐ€์ง€๊ณ  ์žˆ๋‹ค.์ด์™€ ๊ฐ™์€ ๊ฒฝ์šฐ Plot์„ ์ถ”๊ฐ€ ํ•˜๊ฑฐ๋‚˜ Plot์˜ Y์ถ•์„ ํฌ๊ธฐ ๋‹จ์œ„๊ฐ€ ํ‹€๋ฆฐ ๊ฒƒ์œผ๋กœ ํ•˜๋‚˜ ๋” ์ถ”๊ฐ€ ๊ธฐ๋Šฅ์„ ๊ฐ€์ง€๊ณ  ์žˆ๋‹ค.

Exam1 (Simulation Result)

V_V1

0V 1V 2V 3V 4V 5V 6V 7V 8V 9V 10V

V(1) V(2) I(R2) W(R2)

0

5

10

TraceTrace

PlotPlot

31

61

PSpice Probe Window

Output WindowOutput Window Simulation Status WindowSimulation Status Window

Output FileSimulation resultSimulation QueueEdit Simulation Setting

Output FileSimulation resultSimulation QueueEdit Simulation Setting

Trace MenuTrace Menu

Plot MenuPlot Menu

62

V_V1

0V 2V 4V 6V 8V 10VV(1) V(2) I(R2) W(R2)

0

5

10

<Data Copy>์œ„์˜ ๊ทธ๋ฆผ์—์„œ Trace Name์„ ์„ ํƒํ•˜๊ฒŒ ๋˜๋ฉด ์ ์ƒ‰์œผ๋กœ ๋ณ€๊ฒฝ๋œ๋‹ค. ๋ณ€๊ฒฝ๋œ ์ƒํƒœ์—์„œ Ctrl + C๋ฅผ ํด๋ฆญ ํ•˜๊ฒŒ ๋˜๋ฉด X , Y ์ขŒํ‘œ์— ๋Œ€ํ•œ Data Point๋“ค์„ Copyํ•˜๊ฒŒ ๋˜๋ฉฐ, ์ด Point๋ฅผ ๊ฒฐ์ •ํ•˜๋Š” ๊ฒƒ์€

์‹œ๋ฎฌ๋ ˆ์ด์…˜ Setting์—์„œ ๊ฒฐ์ •ํ•œ Sweep type์˜ Sweep ๋ฒ”์œ„์˜ Incremental ๋ฐ Value list์˜ ์ˆ˜ ๋งŒํผ Point๋ฅผ Copyํ•˜๊ฒŒ

๋˜๋ฉฐ, ์ด Data๋“ค์€ ์ผ๋ฐ˜ Window์ƒ์—์„œ ์‚ฌ์šฉ๋˜๋Š” Word ํŽธ์ง‘๊ธฐ๋กœ ๋ฐ”๋กœ Copyํ•  ์ˆ˜ ์žˆ๋‹ค.Graphic์„ Copyํ•˜๋Š” ๊ธฐ๋Šฅ์€ Probe Window์˜ Menu์—์„œ Window / Copy to Clipboard๋ฅผ ํด๋ฆญ ํ•˜๊ณ  Background์ƒ‰ ์„ค์ •

ํ•˜๋Š” ๋ถ€๋ถ„์„ ์„ ํƒ ํ›„ Graphic ์ง€์› ํ”„๋กœ๊ทธ๋žจ์— ๋ถ™์—ฌ ๋„ฃ๊ธฐ๋กœ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

<Data Copy>์œ„์˜ ๊ทธ๋ฆผ์—์„œ Trace Name์„ ์„ ํƒํ•˜๊ฒŒ ๋˜๋ฉด ์ ์ƒ‰์œผ๋กœ ๋ณ€๊ฒฝ๋œ๋‹ค. ๋ณ€๊ฒฝ๋œ ์ƒํƒœ์—์„œ Ctrl + C๋ฅผ ํด๋ฆญ ํ•˜๊ฒŒ ๋˜๋ฉด X , Y ์ขŒํ‘œ์— ๋Œ€ํ•œ Data Point๋“ค์„ Copyํ•˜๊ฒŒ ๋˜๋ฉฐ, ์ด Point๋ฅผ ๊ฒฐ์ •ํ•˜๋Š” ๊ฒƒ์€

์‹œ๋ฎฌ๋ ˆ์ด์…˜ Setting์—์„œ ๊ฒฐ์ •ํ•œ Sweep type์˜ Sweep ๋ฒ”์œ„์˜ Incremental ๋ฐ Value list์˜ ์ˆ˜ ๋งŒํผ Point๋ฅผ Copyํ•˜๊ฒŒ

๋˜๋ฉฐ, ์ด Data๋“ค์€ ์ผ๋ฐ˜ Window์ƒ์—์„œ ์‚ฌ์šฉ๋˜๋Š” Word ํŽธ์ง‘๊ธฐ๋กœ ๋ฐ”๋กœ Copyํ•  ์ˆ˜ ์žˆ๋‹ค.Graphic์„ Copyํ•˜๋Š” ๊ธฐ๋Šฅ์€ Probe Window์˜ Menu์—์„œ Window / Copy to Clipboard๋ฅผ ํด๋ฆญ ํ•˜๊ณ  Background์ƒ‰ ์„ค์ •

ํ•˜๋Š” ๋ถ€๋ถ„์„ ์„ ํƒ ํ›„ Graphic ์ง€์› ํ”„๋กœ๊ทธ๋žจ์— ๋ถ™์—ฌ ๋„ฃ๊ธฐ๋กœ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

<Axis Setting>Probe Window์˜ Axis์„ค์ •์„ ๋ณ€๊ฒฝํ•  ๊ฒฝ์šฐ ํ•„์š”ํ•œ

์ถ•์—์„œ ๋งˆ์šฐ์Šค ๋”๋ธ”ํด๋ฆญ์„ ํ•˜๋ฉด, Axis Setting์˜

ํŽธ์ง‘ ์ฐฝ์ด Open ๋œ๋‹ค.

Axis Tab : X , Y AxisData Range, Scale, Fourier, Performance ์ˆ˜ํ–‰

Grid Tab : X, Y GridSpacing, Grid ์„ค์ •

<Axis Setting>Probe Window์˜ Axis์„ค์ •์„ ๋ณ€๊ฒฝํ•  ๊ฒฝ์šฐ ํ•„์š”ํ•œ

์ถ•์—์„œ ๋งˆ์šฐ์Šค ๋”๋ธ”ํด๋ฆญ์„ ํ•˜๋ฉด, Axis Setting์˜

ํŽธ์ง‘ ์ฐฝ์ด Open ๋œ๋‹ค.

Axis Tab : X , Y AxisData Range, Scale, Fourier, Performance ์ˆ˜ํ–‰

Grid Tab : X, Y GridSpacing, Grid ์„ค์ •

Data Copy, Axis Setting

32

63

ํšŒ๋กœ๋‚ด์˜ ๋ชจ๋“  ์ถœ๋ ฅ ๋ฐ์ดํ„ฐ๋ฅผ

์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ์‹คํ–‰ ๋˜๋ฉด ๋ชจ๋‘ ์ €์žฅ๋œ๋‹ค. ๋˜, ํšŒ๋กœ ๋‚ด์˜ ๊ฐ ๋…ธ๋“œ์˜ ๊ณ„์‚ฐ์น˜ ๋ฐ ๊ฐ์ข…

์ˆ˜ํ•™์ ์ธ Function์„ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉ

๊ฐ€๋Šฅํ•˜๋‹ค.

ํšŒ๋กœ๋‚ด์˜ ๋ชจ๋“  ์ถœ๋ ฅ ๋ฐ์ดํ„ฐ๋ฅผ

์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ์‹คํ–‰ ๋˜๋ฉด ๋ชจ๋‘ ์ €์žฅ๋œ๋‹ค. ๋˜, ํšŒ๋กœ ๋‚ด์˜ ๊ฐ ๋…ธ๋“œ์˜ ๊ณ„์‚ฐ์น˜ ๋ฐ ๊ฐ์ข…

์ˆ˜ํ•™์ ์ธ Function์„ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉ

๊ฐ€๋Šฅํ•˜๋‹ค.

์˜ˆ๋กœ์„œ R1์—์„œ ์†Œ๋น„๋˜๋Š” ์ „๋ ฅ์„ ๊ณ„์‚ฐํ•˜๊ธฐ ์œ„ํ•ด I(R1)*(V(Input)-V(Output))์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, Expression์„ I(R1)*(V(Input)-V(Output));Power_R1์ด๋ผ๊ณ  ํ‘œํ˜„ ํ•˜๋ฉด Probe Window์—๋Š” Power_R1๋งŒ ํ‘œํ˜„๋˜๊ณ  ์•ž์˜

์ˆ˜์‹์€ ๋ณด์ด์ง€ ์•Š๋Š”๋‹ค.๋˜๋Š” 10*log10(v(inoise)*v(inoise)/8.28e-19);Noise_Figure๋“ฑ๊ณผ ๊ฐ™์€ ๋ณต์žกํ•œ ์ˆ˜์‹์œผ๋กœ ํŒŒํ˜•์˜ Display๊ฐ€ ๊ฐ€๋Šฅํ•˜๋‹ค.

์˜ˆ๋กœ์„œ R1์—์„œ ์†Œ๋น„๋˜๋Š” ์ „๋ ฅ์„ ๊ณ„์‚ฐํ•˜๊ธฐ ์œ„ํ•ด I(R1)*(V(Input)-V(Output))์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, Expression์„ I(R1)*(V(Input)-V(Output));Power_R1์ด๋ผ๊ณ  ํ‘œํ˜„ ํ•˜๋ฉด Probe Window์—๋Š” Power_R1๋งŒ ํ‘œํ˜„๋˜๊ณ  ์•ž์˜

์ˆ˜์‹์€ ๋ณด์ด์ง€ ์•Š๋Š”๋‹ค.๋˜๋Š” 10*log10(v(inoise)*v(inoise)/8.28e-19);Noise_Figure๋“ฑ๊ณผ ๊ฐ™์€ ๋ณต์žกํ•œ ์ˆ˜์‹์œผ๋กœ ํŒŒํ˜•์˜ Display๊ฐ€ ๊ฐ€๋Šฅํ•˜๋‹ค.

Menu / Trace / Add TraceMenu / Trace / Add Trace

Trace Expression์—๋Š” ๋ชฉ์ ํ•˜๋Š” ์ถœ๋ ฅ์˜

์˜ˆ์ƒ์น˜๋ฅผ ๋ฏธ๋ฆฌ ํ™•์ธ ํ•˜๋Š” ๋ชฉ์ ์œผ๋กœ

์‚ฌ์šฉ๋˜๋ฉฐ ๋ณต์žกํ•œ ํ•จ์ˆ˜์‹์œผ๋กœ ํ‘œํ˜„๋„

๋˜ํ•œ ๊ฐ€๋Šฅํ•˜๋‹ค.

Trace Expression์—๋Š” ๋ชฉ์ ํ•˜๋Š” ์ถœ๋ ฅ์˜

์˜ˆ์ƒ์น˜๋ฅผ ๋ฏธ๋ฆฌ ํ™•์ธ ํ•˜๋Š” ๋ชฉ์ ์œผ๋กœ

์‚ฌ์šฉ๋˜๋ฉฐ ๋ณต์žกํ•œ ํ•จ์ˆ˜์‹์œผ๋กœ ํ‘œํ˜„๋„

๋˜ํ•œ ๊ฐ€๋Šฅํ•˜๋‹ค.

Trace Add (PSpice Probe Window)

64

Menu / View / ZoomFit : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๋ฒ”์œ„์˜ Auto RangeIn : ํ™•๋Œ€

Out : ์ถ•์†Œ

Area : ๋ฒ”์œ„ ์ง€์ • ํ™•๋Œ€

Pan โ€“ New Center : ๋งˆ์šฐ์Šค ์ค‘์‹ฌ ํ™•๋Œ€

Menu / View / ZoomFit : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๋ฒ”์œ„์˜ Auto RangeIn : ํ™•๋Œ€

Out : ์ถ•์†Œ

Area : ๋ฒ”์œ„ ์ง€์ • ํ™•๋Œ€

Pan โ€“ New Center : ๋งˆ์šฐ์Šค ์ค‘์‹ฌ ํ™•๋Œ€

Menu / Plot / LabelPlot์— ์ฃผ์„ ๋‹ฌ๊ธฐ ๋ฐ ํŒŒํ˜•์— ๋Œ€ํ•œ

์„ค๋ช…์œผ๋กœ ์ถœ๋ ฅ์šฉ ํŽธ์ง‘ ๊ธฐ๋Šฅ.

Menu / Plot / LabelPlot์— ์ฃผ์„ ๋‹ฌ๊ธฐ ๋ฐ ํŒŒํ˜•์— ๋Œ€ํ•œ

์„ค๋ช…์œผ๋กœ ์ถœ๋ ฅ์šฉ ํŽธ์ง‘ ๊ธฐ๋Šฅ.

Menu / Trace / CursorDisplay : ์ถœ๋ ฅ๋œ ํŒŒํ˜•์„ ๋”ฐ๋ผ ์ด๋™ ํ•˜๋ฉฐ, ์ด๋™๋˜๋Š” ์ขŒํ‘œ๋“ค์„ ์•„๋ž˜ Probe Cursor์— Display์‹œํ‚จ๋‹ค. A1์€ ์™ผ์ชฝ ๋งˆ์šฐ์Šค Cursor A2๋Š” ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค Cursor Dif๋Š” A1 โ€“ A2๋กœ

๋‚˜ํƒ€๋‚ธ๋‹ค. Peak ๋ฐ Mix , Max๋ฅผ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

Menu / Trace / CursorDisplay : ์ถœ๋ ฅ๋œ ํŒŒํ˜•์„ ๋”ฐ๋ผ ์ด๋™ ํ•˜๋ฉฐ, ์ด๋™๋˜๋Š” ์ขŒํ‘œ๋“ค์„ ์•„๋ž˜ Probe Cursor์— Display์‹œํ‚จ๋‹ค. A1์€ ์™ผ์ชฝ ๋งˆ์šฐ์Šค Cursor A2๋Š” ์˜ค๋ฅธ ์ชฝ ๋งˆ์šฐ์Šค Cursor Dif๋Š” A1 โ€“ A2๋กœ

๋‚˜ํƒ€๋‚ธ๋‹ค. Peak ๋ฐ Mix , Max๋ฅผ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.

PSpice Probe Window Menu

33

65

Simulation Flow (DC Sweep)1. ๋ถ€ํ’ˆ ๋ฐฐ์น˜ (Part P, GND G)

R โ€“ analog.olb

VDC โ€“ Source.olb

QBreakN โ€“ Breakout.olb

Ground โ€“ source.olb

2. ๋ฐฐ์„  (Wire W)

3. ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ • (PSpice / New Simulation Profile)

4. Analysis Tab์˜ DC Sweep์„ ํƒ

Primary Sweep V1 0 ~ 3 / 0.01

Secondary Sweep V2 15V

5. Marker ๋ฐฐ์น˜ ํ›„ Run

Simulation Flow (DC Sweep)1. ๋ถ€ํ’ˆ ๋ฐฐ์น˜ (Part P, GND G)

R โ€“ analog.olb

VDC โ€“ Source.olb

QBreakN โ€“ Breakout.olb

Ground โ€“ source.olb

2. ๋ฐฐ์„  (Wire W)

3. ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ • (PSpice / New Simulation Profile)

4. Analysis Tab์˜ DC Sweep์„ ํƒ

Primary Sweep V1 0 ~ 3 / 0.01

Secondary Sweep V2 15V

5. Marker ๋ฐฐ์น˜ ํ›„ Run

DC Sweep Exam2

V12V

V215VQbreakN

Q1R1

1K

R2

3K

000

CE

.SUBCKT Xvoltage regulator**

.SUBCKT Xvoltage reference**.MODEL Knonlinear magnetic core

.SUBCKT Xvoltage comparator**.SUBCKT Xoperational amplifier**

.MODEL Mpower MOSFET.MODEL JJFET .

.MODEL ZIGBT . .SUBCKT XDarlington model

.MODEL QBipolar transistor.MODEL DDiode

66

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธ ์‹œ ์œ„์˜ Plot์„ ๋‘ ๊ฐœ์˜ Y์ถ•์„ ์ƒ์„ฑ ํ›„ ๊ฐ™์€ X์ถ• ๋ณ€ํ™”๋Ÿ‰(base voltage)์— ๋Œ€ํ•œ Q1(2sc1815)์˜Correct๋‹จ์˜ ์ „์•• ๋ฐ ์ „๋ฅ˜์˜ ๋™์ž‘ ํŠน์„ฑ์„ ํ™•์ธํ•œ๋‹ค.

Y์ถ• ์ƒ์„ฑ : Probe ์œˆ๋„์šฐ์—์„œ Plot / Add Y axis๋ฅผ ํด๋ฆญ

์—ฌ๊ธฐ์„œ Trace Name์— ํ‘œํ˜„๋œ ๋Š” Y์ถ•์˜ 1๋ฒˆ๊ณผ 2๋ฒˆ์œผ๋กœ ๊ตฌ๋ถ„๋˜๋ฉฐ >> ํ‘œ์‹œ๋Š” ํ˜„์ œ ์„ ํƒ๋œ Y์ถ•์„ ๋‚˜ํƒ€๋‚ธ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธ ์‹œ ์œ„์˜ Plot์„ ๋‘ ๊ฐœ์˜ Y์ถ•์„ ์ƒ์„ฑ ํ›„ ๊ฐ™์€ X์ถ• ๋ณ€ํ™”๋Ÿ‰(base voltage)์— ๋Œ€ํ•œ Q1(2sc1815)์˜Correct๋‹จ์˜ ์ „์•• ๋ฐ ์ „๋ฅ˜์˜ ๋™์ž‘ ํŠน์„ฑ์„ ํ™•์ธํ•œ๋‹ค.

Y์ถ• ์ƒ์„ฑ : Probe ์œˆ๋„์šฐ์—์„œ Plot / Add Y axis๋ฅผ ํด๋ฆญ

์—ฌ๊ธฐ์„œ Trace Name์— ํ‘œํ˜„๋œ ๋Š” Y์ถ•์˜ 1๋ฒˆ๊ณผ 2๋ฒˆ์œผ๋กœ ๊ตฌ๋ถ„๋˜๋ฉฐ >> ํ‘œ์‹œ๋Š” ํ˜„์ œ ์„ ํƒ๋œ Y์ถ•์„ ๋‚˜ํƒ€๋‚ธ๋‹ค.1

Simulation Result

34

67

Common Emitter Amplifier

๊ณตํ†ต ์—๋ฏธํ„ฐ ์ฆํญ๊ธฐ(Common Emitter Amplifier)- ์ž…๋ ฅ์€ ๋ฒ ์ด์Šค , ์ถœ๋ ฅ์€ ์ปฌ๋ ‰ํ„ฐ

- ์ž…๋ ฅ๊ณผ ์ถœ๋ ฅ์˜ ์ „์•• ์œ„์ƒ์˜ ๋ฐ˜๋Œ€

- ๋ชจ๋“  ์ปคํŽ˜์‹œํ„ฐ๋Š” ๋™์ž‘ ์ฃผํŒŒ์ˆ˜์—์„œ ๋ฌด์‹œ๋  ์ˆ˜ ์žˆ์„ ๋งŒํผ ์ž‘์„ ๊ฒƒ

๊ณตํ†ต ์—๋ฏธํ„ฐ ์ฆํญ๊ธฐ(Common Emitter Amplifier)- ์ž…๋ ฅ์€ ๋ฒ ์ด์Šค , ์ถœ๋ ฅ์€ ์ปฌ๋ ‰ํ„ฐ

- ์ž…๋ ฅ๊ณผ ์ถœ๋ ฅ์˜ ์ „์•• ์œ„์ƒ์˜ ๋ฐ˜๋Œ€

- ๋ชจ๋“  ์ปคํŽ˜์‹œํ„ฐ๋Š” ๋™์ž‘ ์ฃผํŒŒ์ˆ˜์—์„œ ๋ฌด์‹œ๋  ์ˆ˜ ์žˆ์„ ๋งŒํผ ์ž‘์„ ๊ฒƒ

QbreakNQ1

0

C1

10u

R1

R2

RE1k

V1

C2 1n0

RC

RL1k

Vin

C31n

Vout๋ฒ ์ด์Šค์— ํ๋ฅด๋Š” ์ž‘์€ ์ „๋ฅ˜์— ์˜ํ•ด ์ปฌ๋ ‰ํ„ฐ์—์„œ ์—๋ฏธํ„ฐ๋กœ ํฐ ์ „๋ฅ˜๊ฐ€

ํ๋ฅด๋Š” ์›๋ฆฌ๋ฅผ ์ด์šฉํ•˜์—ฌ ์‹ ํ˜ธ๋ฅผ ์ฆํญ

๋ฒ ์ด์Šค์— ํ๋ฅด๋Š” ์ž‘์€ ์ „๋ฅ˜์— ์˜ํ•ด ์ปฌ๋ ‰ํ„ฐ์—์„œ ์—๋ฏธํ„ฐ๋กœ ํฐ ์ „๋ฅ˜๊ฐ€

ํ๋ฅด๋Š” ์›๋ฆฌ๋ฅผ ์ด์šฉํ•˜์—ฌ ์‹ ํ˜ธ๋ฅผ ์ฆํญ

ํŠธ๋žœ์ง€์Šคํ„ฐ ์ฃผ์š” ํŒŒ๋ผ๋ฏธํ„ฐํŠธ๋žœ์ง€์Šคํ„ฐ ์ฃผ์š” ํŒŒ๋ผ๋ฏธํ„ฐ

์ง๋ฅ˜ ์ „๋ฅ˜ ์ฆํญ์œจ adc = Ic / IE์ง๋ฅ˜ ์ „๋ฅ˜ ์ด๋“ Bdc = Ic / IB (50 ~ 300) ; hFE

์ง๋ฅ˜ ์ „๋ฅ˜ ์ฆํญ์œจ adc = Ic / IE์ง๋ฅ˜ ์ „๋ฅ˜ ์ด๋“ Bdc = Ic / IB (50 ~ 300) ; hFE

68

BJT Beta Test

hFE๋Š” ์˜จ๋„์— ๋ฏผ๊ฐํ•˜๊ฒŒ ๋ฐ˜์‘ํ•จ์„ ๋ฐ์ดํ„ฐ ์‹œํŠธ๋ฅผ ํ†ตํ•ด ์•Œ ์ˆ˜ ์žˆ์Œ.hFE๋Š” ์˜จ๋„์— ๋ฏผ๊ฐํ•˜๊ฒŒ ๋ฐ˜์‘ํ•จ์„ ๋ฐ์ดํ„ฐ ์‹œํŠธ๋ฅผ ํ†ตํ•ด ์•Œ ์ˆ˜ ์žˆ์Œ.

2N3904 ํŠธ๋žœ์ง€์Šคํ„ฐ์˜ Bdc (hFE) ํŠน์„ฑ ๊ณก์„ 2N3904 ํŠธ๋žœ์ง€์Šคํ„ฐ์˜ Bdc (hFE) ํŠน์„ฑ ๊ณก์„ 

I11n

Q1

Q2N3904

Ib

0

Ic

V22

I

I

BJT Beta Test CircuitBJT Beta Test Circuit

I_I1

10uA 100uA 1.0mA 10mA 100mAIC(Q1)

0A

1.0A

2.0A

I_I1

10uA 100uA 1.0mA 10mA 100mAIb(Q1)

0A

50mA

100mA

.DC DEC I_I1 0.01m 50m 100 .DC DEC I_I1 0.01m 50m 100

35

69

BJT Vbe Test

BJT Vbe Test CircuitBJT Vbe Test Circuit

.DC LIN I_I1 1n 50u 1u .DC LIN I_I1 1n 50u 1u I_I1

0A 10uA 20uA 30uA 40uA 50uAV(IB)

200mV

400mV

600mV

800mV

(7.8652u,667.069m)

(23.202u,699.509m)

I11n

Q1

Q2N3904

Ib

0

Ic

V22

V

Base Voltage : Vbe(๋ฒ ์ด์Šค ์ „์•• ๋ณ€ํ™”๋Ÿ‰)

Base Voltage : Vbe(๋ฒ ์ด์Šค ์ „์•• ๋ณ€ํ™”๋Ÿ‰)

Base Current : Ib(๋ฒ ์ด์Šค ์ „๋ฅ˜ ๋ณ€ํ™”๋Ÿ‰)

Base Current : Ib(๋ฒ ์ด์Šค ์ „๋ฅ˜ ๋ณ€ํ™”๋Ÿ‰)

70

BJT Vce_Ic Curve Test CircuitBJT Vce_Ic Curve Test Circuit

BJT Vce Ic Test

.DC LIN V_V2 0 15 1 Primary sweep+ LIN V_V1 0 15 1 Secondary sweep

.DC LIN V_V2 0 15 1 Primary sweep+ LIN V_V1 0 15 1 Secondary sweep

V_V2

0V 5V 10V 15VIC(Q1)

0A

2.0mA

4.0mA

6.0mA

V115V

V215V

Q1

Q2N3904

R1

470k

R2

1k

0

CE

I

Plot Menu์˜ Axis Setting์„ ์„ ํƒ Or X์ถ•์„ ๋”๋ธ” ํด๋ฆญ ํ•˜๋ฉด, Axis Setting์ฐฝ์ด ๋‚˜ํƒ€๋‚˜๋ฉฐ, ํ™”๋ฉด์—์„œ ๋‚˜ํƒ€๋‚œ Axis variable์˜ ๋ฒ„ํŠผ์„ ํด๋ฆญํ•˜์—ฌ,X์ถ•์˜ ๋ณ€์ˆ˜ ์ค‘ V(CE)๋ฅผ ์ฐพ๊ณ  ์„ ํƒํ•˜์—ฌ, Trace Expression์— ํ‘œํ˜„ ํ•œ๋‹ค.

Plot Menu์˜ Axis Setting์„ ์„ ํƒ Or X์ถ•์„ ๋”๋ธ” ํด๋ฆญ ํ•˜๋ฉด, Axis Setting์ฐฝ์ด ๋‚˜ํƒ€๋‚˜๋ฉฐ, ํ™”๋ฉด์—์„œ ๋‚˜ํƒ€๋‚œ Axis variable์˜ ๋ฒ„ํŠผ์„ ํด๋ฆญํ•˜์—ฌ,X์ถ•์˜ ๋ณ€์ˆ˜ ์ค‘ V(CE)๋ฅผ ์ฐพ๊ณ  ์„ ํƒํ•˜์—ฌ, Trace Expression์— ํ‘œํ˜„ ํ•œ๋‹ค.

Axis Variable์„ V(CE)์˜ ๋ณ€์ˆ˜๋กœ ๋ณ€๊ฒฝ ํ›„ ๊ฐ€์ƒ์ ์ธ ์ง๋ฅ˜ ๋ถ„์•• ๊ณก์„ ์„ ๋‹ค์Œ๊ณผ ๊ฐ™์€ ์‹์œผ๋กœ ํ•˜๋‚˜์˜ ํŒŒํ˜•์œผ๋กœ ํ‘œํ˜„์ด ๊ฐ€๋Šฅํ•˜๋‹ค.Trace Expression์˜ Box์— ๋‹ค์Œ๊ณผ ๊ฐ™์ด ์ž…๋ ฅ : -V(CE)/1k+15mA

Axis Variable์„ V(CE)์˜ ๋ณ€์ˆ˜๋กœ ๋ณ€๊ฒฝ ํ›„ ๊ฐ€์ƒ์ ์ธ ์ง๋ฅ˜ ๋ถ„์•• ๊ณก์„ ์„ ๋‹ค์Œ๊ณผ ๊ฐ™์€ ์‹์œผ๋กœ ํ•˜๋‚˜์˜ ํŒŒํ˜•์œผ๋กœ ํ‘œํ˜„์ด ๊ฐ€๋Šฅํ•˜๋‹ค.Trace Expression์˜ Box์— ๋‹ค์Œ๊ณผ ๊ฐ™์ด ์ž…๋ ฅ : -V(CE)/1k+15mA

36

71

AC Sweep analysis, PSpice ์†Œ์Šค์˜ ์ฃผํŒŒ์ˆ˜ ๋ณ€ํ™”์— ํšŒ๋กœ์˜ small-signal๋ฐ˜์‘์„

๊ณ„์‚ฐํ•œ๋‹ค.์ด ์ •๋ณด๋ฅผ ์‚ฌ์šฉํ•˜์—ฌ voltage์˜ ํฌ๊ธฐ์™€ ์œ„์ƒ์„ ํฌํ•จํ•œ Bode Plot๋ฅผ ์ถœ๋ ฅํ•˜์—ฌ

ํ™•์ธํ•œ๋‹ค.AC Sweep๋Š” ์•„์ฃผ ์ž‘์€ signal analysisํ•˜๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด๋ฉฐ, ๋งŒ์ผ 100์ด๋“์—

์ž…๋ ฅ1V๋Š” 100V๋ฅผ ์–ป๋Š”๋‹ค. ํด๋ฆฌํ•‘ ๊ฐ™์€ nonlinear effects๋Š” simulation์„ ํ• 

์ˆ˜ ์—†๋‹ค.๋˜ํ•œ ๊ณผ๋„ํ•ด์„ ํ›„ ํ“จ๋ฆฌ์— ํ•ด์„์ด ์‹ค์‹œ๊ฐ„์œผ๋กœ ์ด๋ฃจ์–ด์ง€๋ฉฐ ์œ„์ƒ, ์ง๋ฅ˜๋ถ„ ๋“ฑ์„

๊ฒฐ๊ณผ ํŒŒ์ผ๋กœ ์ถœ๋ ฅ, ์ด์— ๋Œ€ํ•œ ํ‰๊ท ์น˜, ๊ธฐ๋ณธํŒŒ ์‹คํšจ์น˜, ์—ญ๋ฅ , THD๋“ฑ์„ ๊ณ„์‚ฐํ•  ์ˆ˜

์žˆ๋Š” ์žฅ์ ์ด ์žˆ๋‹ค.

AC Sweep analysis, PSpice ์†Œ์Šค์˜ ์ฃผํŒŒ์ˆ˜ ๋ณ€ํ™”์— ํšŒ๋กœ์˜ small-signal๋ฐ˜์‘์„

๊ณ„์‚ฐํ•œ๋‹ค.์ด ์ •๋ณด๋ฅผ ์‚ฌ์šฉํ•˜์—ฌ voltage์˜ ํฌ๊ธฐ์™€ ์œ„์ƒ์„ ํฌํ•จํ•œ Bode Plot๋ฅผ ์ถœ๋ ฅํ•˜์—ฌ

ํ™•์ธํ•œ๋‹ค.AC Sweep๋Š” ์•„์ฃผ ์ž‘์€ signal analysisํ•˜๋Š” ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด๋ฉฐ, ๋งŒ์ผ 100์ด๋“์—

์ž…๋ ฅ1V๋Š” 100V๋ฅผ ์–ป๋Š”๋‹ค. ํด๋ฆฌํ•‘ ๊ฐ™์€ nonlinear effects๋Š” simulation์„ ํ• 

์ˆ˜ ์—†๋‹ค.๋˜ํ•œ ๊ณผ๋„ํ•ด์„ ํ›„ ํ“จ๋ฆฌ์— ํ•ด์„์ด ์‹ค์‹œ๊ฐ„์œผ๋กœ ์ด๋ฃจ์–ด์ง€๋ฉฐ ์œ„์ƒ, ์ง๋ฅ˜๋ถ„ ๋“ฑ์„

๊ฒฐ๊ณผ ํŒŒ์ผ๋กœ ์ถœ๋ ฅ, ์ด์— ๋Œ€ํ•œ ํ‰๊ท ์น˜, ๊ธฐ๋ณธํŒŒ ์‹คํšจ์น˜, ์—ญ๋ฅ , THD๋“ฑ์„ ๊ณ„์‚ฐํ•  ์ˆ˜

์žˆ๋Š” ์žฅ์ ์ด ์žˆ๋‹ค.

<์‹คํ–‰ ์ˆœ์„œ>1. ํšŒ๋กœ ๊ตฌ์„ฑ

- R C ๋ฐฐ์น˜

- ์ž…๋ ฅ์› VAC(AC ํ•ด์„ ์ „์šฉ ์ž…๋ ฅ์›) ๋ฐฐ์น˜ Source.olb : AC Source๋Š” ํ•ญ์ƒ

1V๋กœ ์ž…๋ ฅ ํ•œ๋‹ค. 2. Simulation Setting

- AC Sweep / Noise Start Freq ์—๋Š” 0Hz์„ ์ž…๋ ฅ ํ•  ์ˆ˜ ์—†๋‹ค. 1 Hz ~ 100Meg Point / Dec 100

3. Marker๋ฐฐ์น˜ Menu / PSpice / Marker / advance / DB, Phase Marker๋ฐฐ์น˜

<์‹คํ–‰ ์ˆœ์„œ>1. ํšŒ๋กœ ๊ตฌ์„ฑ

- R C ๋ฐฐ์น˜

- ์ž…๋ ฅ์› VAC(AC ํ•ด์„ ์ „์šฉ ์ž…๋ ฅ์›) ๋ฐฐ์น˜ Source.olb : AC Source๋Š” ํ•ญ์ƒ

1V๋กœ ์ž…๋ ฅ ํ•œ๋‹ค. 2. Simulation Setting

- AC Sweep / Noise Start Freq ์—๋Š” 0Hz์„ ์ž…๋ ฅ ํ•  ์ˆ˜ ์—†๋‹ค. 1 Hz ~ 100Meg Point / Dec 100

3. Marker๋ฐฐ์น˜ Menu / PSpice / Marker / advance / DB, Phase Marker๋ฐฐ์น˜

AC Analysis

V11Vac0Vdc

R1

10k

C10.159n

C2

10k

R215.9n

RC

00

0

CR

์ด๋ก  ์ƒ์˜ ๊ฒฐ๊ณผ ๊ฐ’๊ณผ ๋น„๊ต

RC ๋…ธ๋“œ

Cutoff Freq : 9.9852KPhase : -45CR ๋…ธ๋“œ

Cutoff Freq : 0.99852KPhase : 45

์ด๋ก  ์ƒ์˜ ๊ฒฐ๊ณผ ๊ฐ’๊ณผ ๋น„๊ต

RC ๋…ธ๋“œ

Cutoff Freq : 9.9852KPhase : -45CR ๋…ธ๋“œ

Cutoff Freq : 0.99852KPhase : 45

72

๋ฐ”์ด์–ด์Šค ํฌ์ธํŠธ ์ฃผ์œ„์—์„œ ํšŒ๋กœ๋ฅผ ์„ ํ˜•ํ™” ํ•œ ๋‹ค์Œ ์†Œ์‹ ํ˜ธ ํ•ด์„์„ ์ˆ˜ํ–‰ํ•œ๋‹ค. ์ฃผํŒŒ์ˆ˜๋ฅผ ์ฆ๊ฐ€ ์‹œ์ผœ๊ฐ€๋ฉด์„œ

ํšŒ๋กœ์˜ ์ „์••, ์ „๋ฅ˜์˜ ํฌ๊ธฐ์™€ ์œ„์ƒ์„ ๋ถ„์„(Frequency Response,Noise) ํ•ด์„.

๋ฐ”์ด์–ด์Šค ํฌ์ธํŠธ ์ฃผ์œ„์—์„œ ํšŒ๋กœ๋ฅผ ์„ ํ˜•ํ™” ํ•œ ๋‹ค์Œ ์†Œ์‹ ํ˜ธ ํ•ด์„์„ ์ˆ˜ํ–‰ํ•œ๋‹ค. ์ฃผํŒŒ์ˆ˜๋ฅผ ์ฆ๊ฐ€ ์‹œ์ผœ๊ฐ€๋ฉด์„œ

ํšŒ๋กœ์˜ ์ „์••, ์ „๋ฅ˜์˜ ํฌ๊ธฐ์™€ ์œ„์ƒ์„ ๋ถ„์„(Frequency Response,Noise) ํ•ด์„.

Simulation Setting : AC Sweep

์ž…๋ ฅ๊ต๋ฅ˜์‹ ํ˜ธ์˜์ฃผํŒŒ์ˆ˜ sweep์„ ์„ ํ˜•๋ฐ ์ง€์ˆ˜ ํ˜•ํƒœ๋กœ ํ• ๊ฒƒ์ธ๊ฐ€๋ฅผ ์„ ํƒ

์ž…๋ ฅ๊ต๋ฅ˜์‹ ํ˜ธ์˜์ฃผํŒŒ์ˆ˜ sweep์„ ์„ ํ˜•๋ฐ ์ง€์ˆ˜ ํ˜•ํƒœ๋กœ ํ• ๊ฒƒ์ธ๊ฐ€๋ฅผ ์„ ํƒ

๊ณ„์‚ฐ ํ•  ์ฃผํŒŒ์ˆ˜ ๋Œ€์—ญ์˜์ดˆ๊ธฐ ์ .๊ณ„์‚ฐ ํ•  ์ฃผํŒŒ์ˆ˜ ๋Œ€์—ญ์˜์ดˆ๊ธฐ ์ .

End Frequency๋ฅผ ์ž…๋ ฅEnd Frequency๋ฅผ ์ž…๋ ฅ

Decade๋‹น ๊ณ„์‚ฐ ํ• Point์ˆ˜๋ฅผ ์ž…๋ ฅ

Decade๋‹น ๊ณ„์‚ฐ ํ• Point์ˆ˜๋ฅผ ์ž…๋ ฅ

Noise ์ถœ๋ ฅ ์ „์•• ๋…ธ๋“œ๋ฅผ์ž…๋ ฅ

Noise ์ถœ๋ ฅ ์ „์•• ๋…ธ๋“œ๋ฅผ์ž…๋ ฅ

I/V Source : Noise์›์˜Reference name

I/V Source : Noise์›์˜Reference name

Interval : Hz๋‹จ์œ„๋กœ ์ž…๋ ฅ.Interval : Hz๋‹จ์œ„๋กœ ์ž…๋ ฅ.

Menu Bar / PSpice / New Simulation ProfileMenu Bar / PSpice / New Simulation Profile

37

73

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ์ด ํ›„ ์œ„์™€ ๊ฐ™์€ ๊ฒฐ๊ณผ์—์„œ ์–ป๊ณ ์ž ํ•˜๋Š” ์ˆ˜์น˜๋“ค์ด ์žˆ๋‹ค. ์ด๋ฅผํ…Œ๋ฉด, VDB(RC)์˜ 3dB์ดํ•˜์˜ Cutoff Frequency ๋ฐ3db Fc์˜ ์œ„์ƒ์˜ Point(์ขŒํ‘œ)๋ฅผ ์ฝ์„ ํ•„์š”๊ฐ€ ์žˆ๋Š”๋ฐ, Probe ์œˆ๋„์šฐ์—์„œ ์ด๋ฅผ ์ง€์›ํ•œ๋‹ค.๋ฐฉ๋ฒ•์€ PSpice์—(14.xx) ๋‚ด์žฅ ๋˜ ์žˆ๋Š” ๋ชฉ์ ํ•˜๋Š” ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜๋ฉด ์‰ฝ๊ฒŒ ๊ณ„์‚ฐ์ด ๊ฐ€๋Šฅํ•˜๋‹ค. ์ด์ „๊นŒ์ง€๋Š” Cursor๋ฅผ ์ด์šฉํ•˜์˜€์ง€๋งŒ,์ด ๋ฐฉ๋ฒ•์„ ์ด์šฉํ•˜๋ฉด ๋งค ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๋งˆ๋‹ค ์ถœ๋ ฅ ๋ณด๊ธฐ๋งŒ ํ•˜๋ฉด ๋œ๋‹ค.Trace / Evaluate measurement

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ์ด ํ›„ ์œ„์™€ ๊ฐ™์€ ๊ฒฐ๊ณผ์—์„œ ์–ป๊ณ ์ž ํ•˜๋Š” ์ˆ˜์น˜๋“ค์ด ์žˆ๋‹ค. ์ด๋ฅผํ…Œ๋ฉด, VDB(RC)์˜ 3dB์ดํ•˜์˜ Cutoff Frequency ๋ฐ3db Fc์˜ ์œ„์ƒ์˜ Point(์ขŒํ‘œ)๋ฅผ ์ฝ์„ ํ•„์š”๊ฐ€ ์žˆ๋Š”๋ฐ, Probe ์œˆ๋„์šฐ์—์„œ ์ด๋ฅผ ์ง€์›ํ•œ๋‹ค.๋ฐฉ๋ฒ•์€ PSpice์—(14.xx) ๋‚ด์žฅ ๋˜ ์žˆ๋Š” ๋ชฉ์ ํ•˜๋Š” ํ•จ์ˆ˜๋ฅผ ์ด์šฉํ•˜๋ฉด ์‰ฝ๊ฒŒ ๊ณ„์‚ฐ์ด ๊ฐ€๋Šฅํ•˜๋‹ค. ์ด์ „๊นŒ์ง€๋Š” Cursor๋ฅผ ์ด์šฉํ•˜์˜€์ง€๋งŒ,์ด ๋ฐฉ๋ฒ•์„ ์ด์šฉํ•˜๋ฉด ๋งค ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๋งˆ๋‹ค ์ถœ๋ ฅ ๋ณด๊ธฐ๋งŒ ํ•˜๋ฉด ๋œ๋‹ค.Trace / Evaluate measurement

Simulation Result

ํŒŒํ˜•์˜ ํ‘œํ˜„์˜ ์˜ˆ

RC ๋…ธ๋“œ์˜ ์ „์•• : V(RC)RC ๋…ธ๋“œ์˜ ๊ฒŒ์ธ ๊ฐ’ : VDB(RC)RC ๋…ธ๋“œ์™€ CR ๋…ธ๋“œ์˜ ์ „๋ฅ˜ ์ฐจ I(RC)-I(CR) ๋“ฑ์œผ๋กœ ํ‘œํ˜„

Plot Window ์ถ”๊ฐ€ ํ•˜์—ฌ ์ถœ๋ ฅ ํŒŒํ˜• ๋ถ„๋ฆฌ ๋ฐฉ๋ฒ•

1. Menu Plot / Add Plot to Window 2. ํŒŒํ˜• ์ด๋ฆ„ ์„ ํƒ ํ•˜์—ฌ ์ž˜๋ผ ๋‚ด๊ธฐ

3. ์ƒ์„ฑ๋œ Plot ์— ๋ถ™์—ฌ ๋„ฃ๊ธฐ

ํŒŒํ˜•์˜ ํ‘œํ˜„์˜ ์˜ˆ

RC ๋…ธ๋“œ์˜ ์ „์•• : V(RC)RC ๋…ธ๋“œ์˜ ๊ฒŒ์ธ ๊ฐ’ : VDB(RC)RC ๋…ธ๋“œ์™€ CR ๋…ธ๋“œ์˜ ์ „๋ฅ˜ ์ฐจ I(RC)-I(CR) ๋“ฑ์œผ๋กœ ํ‘œํ˜„

Plot Window ์ถ”๊ฐ€ ํ•˜์—ฌ ์ถœ๋ ฅ ํŒŒํ˜• ๋ถ„๋ฆฌ ๋ฐฉ๋ฒ•

1. Menu Plot / Add Plot to Window 2. ํŒŒํ˜• ์ด๋ฆ„ ์„ ํƒ ํ•˜์—ฌ ์ž˜๋ผ ๋‚ด๊ธฐ

3. ์ƒ์„ฑ๋œ Plot ์— ๋ถ™์—ฌ ๋„ฃ๊ธฐ

74

Evaluate Measurement

์ถœ๋ ฅ์— ๊ณ„์‚ฐ๋œ ๋ณ€์ˆ˜์ถœ๋ ฅ์— ๊ณ„์‚ฐ๋œ ๋ณ€์ˆ˜

Goal FunctionGoal Function๊ณ„์‚ฐ ๋  ํ•จ์ˆ˜ ์ž…๋ ฅ๊ณ„์‚ฐ ๋  ํ•จ์ˆ˜ ์ž…๋ ฅ

๋ณ€์ˆ˜ Filtering๋ณ€์ˆ˜ Filtering

Cutoff_Lowpass_3dB(V(RC)) 99.86004kCutoff_Highpass_3dB(V(CR)) 1.00342k

Cutoff_Lowpass_3dB(V(RC)) 99.86004kCutoff_Highpass_3dB(V(CR)) 1.00342k

์ œ์ž‘ ํ›„ ๋ณด๊ธฐ์ œ์ž‘ ํ›„ ๋ณด๊ธฐ

38

75

Noise Analysis Setting ; AC Sweep / Noise์˜ ๋ถ„์„์—์„œ Noise Analysis Enableํ•œ๋‹ค.Noise Analysis Setting ; AC Sweep / Noise์˜ ๋ถ„์„์—์„œ Noise Analysis Enableํ•œ๋‹ค.

Output Voltage : ์ถœ๋ ฅ ๋…ธ๋“œ ์„ค์ •

I/V Source : ํšŒ๋กœ ๋‚ด์˜ ๋…ธ์ด์ฆˆ ๋ฐœ์ƒ์› ์„ค์ •

Interval : Hz๋‹จ์œ„๋กœ ์ž…๋ ฅ

Output Voltage : ์ถœ๋ ฅ ๋…ธ๋“œ ์„ค์ •

I/V Source : ํšŒ๋กœ ๋‚ด์˜ ๋…ธ์ด์ฆˆ ๋ฐœ์ƒ์› ์„ค์ •

Interval : Hz๋‹จ์œ„๋กœ ์ž…๋ ฅ

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ง„ํ–‰ ํ›„ PSpice Probe Window์—์„œ ์ถœ๋ ฅ ๋ณ€์ˆ˜ ์ถ”๊ฐ€

Trace / Add Trace ์‹คํ–‰ ํ‘œํ˜„๋œ NTOT๋Š” ์ €ํ•ญ์—์„œ์˜ Total Noise๋ฅผ Probe์— DisplayR (Resistor) NTOT (total noise)์ž…๋ ฅ ์‹คํšจ์น˜ V(INOISE)์ถœ๋ ฅ ์‹คํšจ์น˜ V(ONOISE)TOTAL ์‹คํšจ์น˜ SQRT(S(V(ONOISE)*V(ONOISE))) Trace Expression์— ์ž…๋ ฅ ํ•จ์œผ๋กœ์„œ

Total ์‹คํšจ์น˜ ๊ณ„์‚ฐ

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ง„ํ–‰ ํ›„ PSpice Probe Window์—์„œ ์ถœ๋ ฅ ๋ณ€์ˆ˜ ์ถ”๊ฐ€

Trace / Add Trace ์‹คํ–‰ ํ‘œํ˜„๋œ NTOT๋Š” ์ €ํ•ญ์—์„œ์˜ Total Noise๋ฅผ Probe์— DisplayR (Resistor) NTOT (total noise)์ž…๋ ฅ ์‹คํšจ์น˜ V(INOISE)์ถœ๋ ฅ ์‹คํšจ์น˜ V(ONOISE)TOTAL ์‹คํšจ์น˜ SQRT(S(V(ONOISE)*V(ONOISE))) Trace Expression์— ์ž…๋ ฅ ํ•จ์œผ๋กœ์„œ

Total ์‹คํšจ์น˜ ๊ณ„์‚ฐ

AC Analysis (Noise Analysis)

V11Vac0Vdc

R1

10k

C10.159n

C2

10k

R215.9n

RC

00

0

CR

76

Frequency

1.0Hz 100Hz 10KHz 1.0MHz

V(ONOISE)

0V

2.5nV

5.0nV

SQRT(S(V(ONOISE)*V(ONOISE)))

1.0u

2.0uTOTAL

SQRT(S(V(ONOISE)*V(ONOISE)))V(ONOISE)

TOTAL ์‹คํšจ์น˜๋ฅผ ์˜ค๋ฅธ์ชฝ๊ณผ ๊ฐ™์ด ๊ณ„์‚ฐ์‹์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๊ณ , ์ด๊ฒƒ์„ ๊ทธ๋Œ€๋กœ Trace Add์˜ ๋Œ€ํ™”์ฐฝ์˜ Expression์— ํ‘œํ˜„ํ•œ๋‹ค. Integral = S( )Root = SQRT( )Multi = * ์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋‹ค.

TOTAL ์‹คํšจ์น˜๋ฅผ ์˜ค๋ฅธ์ชฝ๊ณผ ๊ฐ™์ด ๊ณ„์‚ฐ์‹์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๊ณ , ์ด๊ฒƒ์„ ๊ทธ๋Œ€๋กœ Trace Add์˜ ๋Œ€ํ™”์ฐฝ์˜ Expression์— ํ‘œํ˜„ํ•œ๋‹ค. Integral = S( )Root = SQRT( )Multi = * ์œผ๋กœ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋‹ค.

Noise Variables = PSpice Help menu Noise Analysis ์ฐธ์กฐ.Noise Variables = PSpice Help menu Noise Analysis ์ฐธ์กฐ.

Noise Analysis : Trace Expression

39

77

์ฐธ๊ณ 

PSpice๋‹จ์œ„๋Š” ์œ„์˜ Table์—์„œ์™€ ๊ฐ™์ด ๋Œ€ ์†Œ ๋ฌธ์ž๋ฅผ ๊ตฌ๋ถ„ํ•˜์ง€ ์•Š๊ณ , ๋ฐ€๋ฆฌ์™€ ๋ฉ”๊ฐ€ ๋‹จ์œ„๋งŒ ๊ตฌ๋ถ„๋œ๋‹ค. ๋˜, ๋‹จ์œ„ ์‚ฌ์šฉ ์‹œ ์ธ๋•ํ„ฐ(H) ๋ฐ ์ฝ˜๋ด์„œ(F)์˜ ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋Š” ๋ฌด์‹œ ๋˜๋ฉฐ, ์‚ฌ์šฉํ•˜์ง€ ์•Š์€ ๊ฒƒ์ด ํŽธ๋ฆฌํ•˜๋‹ค.์ฝ˜๋ด์„œ์˜ ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ 1F๋Š” ์œ„์˜ 10-15๋กœ์„œ Femto๋กœ ์ฝํ˜€์ง์— ์ฃผ์˜ํ•œ๋‹ค..

์ฐธ๊ณ 

PSpice๋‹จ์œ„๋Š” ์œ„์˜ Table์—์„œ์™€ ๊ฐ™์ด ๋Œ€ ์†Œ ๋ฌธ์ž๋ฅผ ๊ตฌ๋ถ„ํ•˜์ง€ ์•Š๊ณ , ๋ฐ€๋ฆฌ์™€ ๋ฉ”๊ฐ€ ๋‹จ์œ„๋งŒ ๊ตฌ๋ถ„๋œ๋‹ค. ๋˜, ๋‹จ์œ„ ์‚ฌ์šฉ ์‹œ ์ธ๋•ํ„ฐ(H) ๋ฐ ์ฝ˜๋ด์„œ(F)์˜ ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋Š” ๋ฌด์‹œ ๋˜๋ฉฐ, ์‚ฌ์šฉํ•˜์ง€ ์•Š์€ ๊ฒƒ์ด ํŽธ๋ฆฌํ•˜๋‹ค.์ฝ˜๋ด์„œ์˜ ์šฉ๋Ÿ‰์˜ ๋‹จ์œ„๋ฅผ ์‚ฌ์šฉํ•  ๊ฒฝ์šฐ 1F๋Š” ์œ„์˜ 10-15๋กœ์„œ Femto๋กœ ์ฝํ˜€์ง์— ์ฃผ์˜ํ•œ๋‹ค..

Unit

78

์‹œ๊ฐ„์„ ์ฆ๊ฐ€์‹œ์ผœ ๊ฐ€๋ฉด์„œ ํšŒ๋กœ์˜ ์ „์••, ์ „๋ฅ˜, ๋””์ง€ํ„ธ ํšŒ๋กœ์˜ ๋…ผ๋ฆฌ์ƒํƒœ ๋“ฑ์˜ ๊ณผ๋„ ์ƒํƒœ๋ฅผ๊ณ„์‚ฐํ•œ๋‹ค.General Setting : Transient๋ถ„์„์— ๋Œ€ํ•œ ๊ธฐ๋ณธ์ ์ธ ํ•ด์„์„ ์‹คํ–‰

Monte Carlo / Worst Case : ์–ด๋–ค ์˜์—ญ ์•ˆ์—์„œ ์†Œ์ž์˜ ๊ฐ’์„ ๋ถˆ๊ทœ์น™ ํ•˜๊ฒŒ ๋ณ€ํ™”์‹œํ‚ค๋ฉด์„œ ๊ทธ ๋ณ€ํ™”์— ๋”ฐ๋ฅธ ํšŒ๋กœ์˜ ์‘๋‹ต์„ ๋ถ„์„ํ•˜๋Š” ์˜ต์…˜. Parametric Sweep : ์ž„์˜์˜ ์˜์—ญ์— ์†Œ์ž ๊ฐ’์„ ๋ณ€๊ฒฝ ์‹œ์ผœ ๊ฐ€๋ฉฐ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰

Temperature (Sweep) : General Setting์„ ๊ธฐ๋ณธ์œผ๋กœ ํ•˜์—ฌ ์กฐ๊ฑด์œผ๋กœ ์ฃผ์–ด์ง„์˜จ๋„์„ค์ •์— ๋”ฐ๋ผ ์˜จ๋„ ํ•ด์„์„ ๋ฐ˜๋ณตํ•œ๋‹ค.Save Bias Point : ํ˜„์ œ์˜ Bias Point์— ๋Œ€ํ•œ ๋ฐ์ดํ„ฐ๋ฅผ ํŒŒ์ผ๋กœ ์ €์žฅํ•œ๋‹ค.Load Bias Point : ์ด์ „์— ๋ฐ์ดํ„ฐ ํŒŒ์ผ์„ Loadํ•œ๋‹ค.

์‹œ๊ฐ„์„ ์ฆ๊ฐ€์‹œ์ผœ ๊ฐ€๋ฉด์„œ ํšŒ๋กœ์˜ ์ „์••, ์ „๋ฅ˜, ๋””์ง€ํ„ธ ํšŒ๋กœ์˜ ๋…ผ๋ฆฌ์ƒํƒœ ๋“ฑ์˜ ๊ณผ๋„ ์ƒํƒœ๋ฅผ๊ณ„์‚ฐํ•œ๋‹ค.General Setting : Transient๋ถ„์„์— ๋Œ€ํ•œ ๊ธฐ๋ณธ์ ์ธ ํ•ด์„์„ ์‹คํ–‰

Monte Carlo / Worst Case : ์–ด๋–ค ์˜์—ญ ์•ˆ์—์„œ ์†Œ์ž์˜ ๊ฐ’์„ ๋ถˆ๊ทœ์น™ ํ•˜๊ฒŒ ๋ณ€ํ™”์‹œํ‚ค๋ฉด์„œ ๊ทธ ๋ณ€ํ™”์— ๋”ฐ๋ฅธ ํšŒ๋กœ์˜ ์‘๋‹ต์„ ๋ถ„์„ํ•˜๋Š” ์˜ต์…˜. Parametric Sweep : ์ž„์˜์˜ ์˜์—ญ์— ์†Œ์ž ๊ฐ’์„ ๋ณ€๊ฒฝ ์‹œ์ผœ ๊ฐ€๋ฉฐ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰

Temperature (Sweep) : General Setting์„ ๊ธฐ๋ณธ์œผ๋กœ ํ•˜์—ฌ ์กฐ๊ฑด์œผ๋กœ ์ฃผ์–ด์ง„์˜จ๋„์„ค์ •์— ๋”ฐ๋ผ ์˜จ๋„ ํ•ด์„์„ ๋ฐ˜๋ณตํ•œ๋‹ค.Save Bias Point : ํ˜„์ œ์˜ Bias Point์— ๋Œ€ํ•œ ๋ฐ์ดํ„ฐ๋ฅผ ํŒŒ์ผ๋กœ ์ €์žฅํ•œ๋‹ค.Load Bias Point : ์ด์ „์— ๋ฐ์ดํ„ฐ ํŒŒ์ผ์„ Loadํ•œ๋‹ค.

Run to time : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ข…๋ฃŒ ์‹œ๊ฐ„

Start saving data after : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹œ์ž‘ ํ›„ ๋ฐ์ดํ„ฐ๋ฅผ

์ €์žฅํ•˜๋Š” ์‹œ๊ฐ„์„ ์ž…๋ ฅ

Maximum Step : ๊ณผ๋„์ƒํƒœ ํ•ด์„์˜ ์ˆ˜์น˜๊ณ„์‚ฐ์„ ์œ„ํ•œ ์ตœ๋Œ€

Step size๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. Skip the initial transientโ€ฆ : ์„ ํƒํ•  ๊ฒฝ์šฐ ์ธ๋•ํ„ฐ,

์ปคํŒจ์‹œํ„ฐ ๋“ฑ์˜ ์ดˆ๊ธฐ์น˜๋ฅผ ๋ฌด์‹œํ•˜๊ณ  ๊ณ„์‚ฐํ•œ๋‹ค.

Run to time : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ข…๋ฃŒ ์‹œ๊ฐ„

Start saving data after : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹œ์ž‘ ํ›„ ๋ฐ์ดํ„ฐ๋ฅผ

์ €์žฅํ•˜๋Š” ์‹œ๊ฐ„์„ ์ž…๋ ฅ

Maximum Step : ๊ณผ๋„์ƒํƒœ ํ•ด์„์˜ ์ˆ˜์น˜๊ณ„์‚ฐ์„ ์œ„ํ•œ ์ตœ๋Œ€

Step size๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. Skip the initial transientโ€ฆ : ์„ ํƒํ•  ๊ฒฝ์šฐ ์ธ๋•ํ„ฐ,

์ปคํŒจ์‹œํ„ฐ ๋“ฑ์˜ ์ดˆ๊ธฐ์น˜๋ฅผ ๋ฌด์‹œํ•˜๊ณ  ๊ณ„์‚ฐํ•œ๋‹ค.

Time Domain / Transient

40

79

V1

FREQ = 5Khz

VAMPL = 1VVOFF = 0.4V

DF = 1000

PHASE = 30TD = 1ms

R110meg

0

V1

FREQ = 5Khz

VAMPL = 1VVOFF = 0.4V

DF = 1000

PHASE = 30TD = 1ms

R110meg

0

Source V I Sin

80

V1

FREQ = 5Khz

VAMPL = 1VVOFF = 0.4V

DF = 1000

PHASE = 30TD = 1ms

R110meg

0

V1

FREQ = 5Khz

VAMPL = 1VVOFF = 0.4V

DF = 1000

PHASE = 30TD = 1ms

R110meg

0Voff=offsetVampl=amplitudeFc=Frequency CarrierFm=Frequency ModulationMOD=Modulation Index

Voff=offsetVampl=amplitudeFc=Frequency CarrierFm=Frequency ModulationMOD=Modulation Index

Source SFFM

41

81

TD1 = 1์ฐจ Time DelayTC1 = 1์ฐจ ์˜จ๋„๊ณ„์ˆ˜

TD2 = 2์ฐจ Time DelayTC2 = 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

TD1 = 1์ฐจ Time DelayTC1 = 1์ฐจ ์˜จ๋„๊ณ„์ˆ˜

TD2 = 2์ฐจ Time DelayTC2 = 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

R110meg

0

V2 TD1 = 0.5m

V1 = 1

TD2 = 1.5mTC1 = 0.1m

V2 = 5

TC2 = 1m

R110meg

0

V2 TD1 = 0.5m

V1 = 1

TD2 = 1.5mTC1 = 0.1m

V2 = 5

TC2 = 1m

Source Exp

82

V1,V2 = Pulse์˜ Offset,Peak ValueTD = Time DelayTR = Rise TimeTF = Fall TimePW = Pulse WidthPER = Period

V1,V2 = Pulse์˜ Offset,Peak ValueTD = Time DelayTR = Rise TimeTF = Fall TimePW = Pulse WidthPER = Period

R110meg

0

V3 TD = 1m

TF = 0.3mPW = 0.5mPER = 2m

V1 = 1

TR = 0.1m

V2 = 5

V

R110meg

0

V3 TD = 1m

TF = 0.3mPW = 0.5mPER = 2m

V1 = 1

TR = 0.1m

V2 = 5

V

Source Pulse

42

83

Source PWL(Piece Wise Linear)

Tn = TimeTn = Time Vn = VoltageVn = Voltage

PWL SeriesPWL Series

V3

VPWL

V4

<FILE>

VPWL_F_RE_FOREVER

V5

<FILE>

VPWL_F_RE_N_TIMES

V6

<FILE>

VPWL_FILE

V7

VPWL_RE_FOREVER

V8

VPWL_RE_N_TIMES

์ขŒ์ธก PWL Series์˜ Source ๋ช…์นญ์—

โ€œFileโ€ ์ด๋ž€ ์ด๋ฆ„์ด ์—†์œผ๋ฉฐ,์ด PWL๋“ค์€ ๋‚ด๋ถ€์˜ Properties์˜

์†์„ฑ์— ์‹œ๊ฐ„๊ณผ ์ „์••(์ „๋ฅ˜)์„ ์ž…๋ ฅํ•˜์—ฌ

์ œ์ž‘ ,์—ฌ๊ธฐ์„œ PWL์˜ ๋ช…์นญ์€ ์•ฝ์–ด๋กœ

์ด๋ฃจ์–ด์ ธ ์žˆ์œผ๋ฉฐ,RE : RepeatN_TIMES : N๋ฒˆ ๋ฐ˜๋ณต ํšŸ์ˆ˜

FOREVER : ์˜๊ตฌ์ ์ธ ํ˜•ํƒœ์˜

์ „์••๊ณผ ์ „๋ฅ˜๋ฅผ ์ž„์˜์˜ ํŽธ์ง‘ํ•จ.

์ขŒ์ธก PWL Series์˜ Source ๋ช…์นญ์—

โ€œFileโ€ ์ด๋ž€ ์ด๋ฆ„์ด ์—†์œผ๋ฉฐ,์ด PWL๋“ค์€ ๋‚ด๋ถ€์˜ Properties์˜

์†์„ฑ์— ์‹œ๊ฐ„๊ณผ ์ „์••(์ „๋ฅ˜)์„ ์ž…๋ ฅํ•˜์—ฌ

์ œ์ž‘ ,์—ฌ๊ธฐ์„œ PWL์˜ ๋ช…์นญ์€ ์•ฝ์–ด๋กœ

์ด๋ฃจ์–ด์ ธ ์žˆ์œผ๋ฉฐ,RE : RepeatN_TIMES : N๋ฒˆ ๋ฐ˜๋ณต ํšŸ์ˆ˜

FOREVER : ์˜๊ตฌ์ ์ธ ํ˜•ํƒœ์˜

์ „์••๊ณผ ์ „๋ฅ˜๋ฅผ ์ž„์˜์˜ ํŽธ์ง‘ํ•จ.

PWL Series์˜ ๋ช…์นญ์— โ€œFileโ€์˜์ด๋ฆ„์€ ์ผ๋ฐ˜ ํ…์ŠคํŠธ ํŽธ์ง‘๊ธฐ๋ฅผ

์ด์šฉํ•˜๋Š” Source์ž„.<๋น„๊ต ์‚ฌํ•ญ>Fileํ˜•ํƒœ๊ฐ€ ์•„๋‹Œ PWL๋“ค์€ ํŽธ์ง‘์˜

๋ฒ”์œ„๊ฐ€ Column์— ์ œํ•œ๋˜๋ฉฐ,Fileํ˜•ํƒœ์˜ PWL์˜ ๋ฉ”๋ชจ์žฅ์„ ์ด์šฉ

ํ•˜๋ฏ€๋กœ Column์˜ ์ œํ•œ์„ ๋ฐ›์ง€

์•Š์Œ.

PWL Series์˜ ๋ช…์นญ์— โ€œFileโ€์˜์ด๋ฆ„์€ ์ผ๋ฐ˜ ํ…์ŠคํŠธ ํŽธ์ง‘๊ธฐ๋ฅผ

์ด์šฉํ•˜๋Š” Source์ž„.<๋น„๊ต ์‚ฌํ•ญ>Fileํ˜•ํƒœ๊ฐ€ ์•„๋‹Œ PWL๋“ค์€ ํŽธ์ง‘์˜

๋ฒ”์œ„๊ฐ€ Column์— ์ œํ•œ๋˜๋ฉฐ,Fileํ˜•ํƒœ์˜ PWL์˜ ๋ฉ”๋ชจ์žฅ์„ ์ด์šฉ

ํ•˜๋ฏ€๋กœ Column์˜ ์ œํ•œ์„ ๋ฐ›์ง€

์•Š์Œ.

R110meg

0

V4

T1 = 0T2 = 0.5mT3 = 1mT4 = 2mT5 = 3mT6 = 4mT7 = 4.5mT8 = 5m

V1 = 0.5V2 = 1V3 = -1V4 = -3V5 = 2V6 = 3V7 = 0.5V8 = 0.5

R110meg

0

V4

T1 = 0T2 = 0.5mT3 = 1mT4 = 2mT5 = 3mT6 = 4mT7 = 4.5mT8 = 5m

V1 = 0.5V2 = 1V3 = -1V4 = -3V5 = 2V6 = 3V7 = 0.5V8 = 0.5

84

TSF : Time Scale FactorVSF : Voltage Scale Factor

TSF : Time Scale FactorVSF : Voltage Scale Factor

Source PWL(Piece Wise Linear)

V6

<FILE>

VPWL_FILE

์ˆ˜์ •์ˆ˜์ •

Tn=TimeTn=Time Vn=VoltageVn=Voltage

PWL_FILE ํ˜•ํƒœ์˜ Source ์‚ฌ์šฉ

์‹œ <File> ๋ž€์— ์ž…๋ ฅํ•ด์•ผ ํ• 

๊ฒฝ๋กœ ๋ช…์€ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด

โ€œ C:\ํŒŒ์ผ์ด ์ €์žฅ๋œ ๊ฒฝ๋กœ โ€œ ์ž…๋ ฅ

ํ•œ๋‹ค.

<์ฐธ๊ณ >

์ž‘์—… ํ”„๋กœ์ ํŠธ์™€ ๋ฉ”๋ชจ์žฅ์˜

SourceํŒŒ์ผ๊ณผ ๊ฐ™์€ ์œ„์น˜์˜

๊ฒฝ๋กœ๋ฅผ ๊ฐ–๋Š” ๊ฒฝ์šฐ์—๋Š” ํŒŒ์ผ๋ช…๊ณผ

ํ™•์žฅ์ž๋งŒ ์ž…๋ ฅํ•˜์—ฌ ์‚ฌ์šฉ ๊ฐ€๋Šฅ

PWL_FILE ํ˜•ํƒœ์˜ Source ์‚ฌ์šฉ

์‹œ <File> ๋ž€์— ์ž…๋ ฅํ•ด์•ผ ํ• 

๊ฒฝ๋กœ ๋ช…์€ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด

โ€œ C:\ํŒŒ์ผ์ด ์ €์žฅ๋œ ๊ฒฝ๋กœ โ€œ ์ž…๋ ฅ

ํ•œ๋‹ค.

<์ฐธ๊ณ >

์ž‘์—… ํ”„๋กœ์ ํŠธ์™€ ๋ฉ”๋ชจ์žฅ์˜

SourceํŒŒ์ผ๊ณผ ๊ฐ™์€ ์œ„์น˜์˜

๊ฒฝ๋กœ๋ฅผ ๊ฐ–๋Š” ๊ฒฝ์šฐ์—๋Š” ํŒŒ์ผ๋ช…๊ณผ

ํ™•์žฅ์ž๋งŒ ์ž…๋ ฅํ•˜์—ฌ ์‚ฌ์šฉ ๊ฐ€๋Šฅ

43

85

Source (AMB - MULT)

DIFF

MULT

SUM

ABM.olbABM.olb

86

Evalue์˜ Expression = IF(๋‘ ํ•€์˜ ์ž…๋ ฅ)<0,0,5) : ๋‘ ์ž…๋ ฅ์˜ ์ฐจ๊ฐ€ 0๋ณด๋‹ค ์ž‘์„ ๋•Œ ์ฐธ์ด๋ฉด 0, ๊ฑฐ์ง“์ด๋ฉด 5๋ฅผ ์ถœ๋ ฅEvalue์˜ Expression = IF(๋‘ ํ•€์˜ ์ž…๋ ฅ)<0,0,5) : ๋‘ ์ž…๋ ฅ์˜ ์ฐจ๊ฐ€ 0๋ณด๋‹ค ์ž‘์„ ๋•Œ ์ฐธ์ด๋ฉด 0, ๊ฑฐ์ง“์ด๋ฉด 5๋ฅผ ์ถœ๋ ฅ

Source (AMB - Evalue)

E1

V(%IN+, %IN-)EVALUE

OUT+OUT-

IN+IN-

G1

V(%IN+, %IN-)GVALUE

OUT+OUT-

IN+IN-

Evalue : ์ „์•• ์ œ์–ด ์ „์••์›

Gvalue : ์ „์•• ์ œ์–ด ์ „๋ฅ˜์›

Evalue : ์ „์•• ์ œ์–ด ์ „์••์›

Gvalue : ์ „์•• ์ œ์–ด ์ „๋ฅ˜์›

44

87

Parameter๋ฅผ ์ด์šฉํ•œ Source SettingParameter๋ฅผ ์ด์šฉํ•œ Source Setting

์•„๋ž˜์™€ ๊ฐ™์ด Special.olb๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ Param์ด๋ž€ ์†Œ์ž๋ฅผ ๋ฐฐ์น˜ํ•˜๊ฒŒ ๋˜๋ฉด, ๋„๋ฉด์—์„œ ์ด์šฉ๋  ํ•จ์ˆ˜์˜ Value๋ฅผ ์„ ์–ธ ๋ฌธ์œผ๋กœ

์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋‹ค. VDC(V1)์˜ DC value์˜ ๊ฐ’์„ ์•„๋ž˜์™€ ๊ฐ™์€ ๊ณ„์‚ฐ ๊ฒฐ๊ณผ์น˜ ๋˜๋Š” ์ผ๋ฐ˜ ํ•จ์ˆ˜์‹์œผ๋กœ์„œ ์‹คํšจ์น˜ ๋ฐ ๋ชฉ์ ํ•˜๋Š” ๊ฐ’์„ ํ‘œํ˜„ํ•˜์—ฌ ์‚ฌ์šฉ๋˜๊ธฐ๋„

ํ•œ๋‹ค.

์•„๋ž˜์™€ ๊ฐ™์ด Special.olb๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜ Param์ด๋ž€ ์†Œ์ž๋ฅผ ๋ฐฐ์น˜ํ•˜๊ฒŒ ๋˜๋ฉด, ๋„๋ฉด์—์„œ ์ด์šฉ๋  ํ•จ์ˆ˜์˜ Value๋ฅผ ์„ ์–ธ ๋ฌธ์œผ๋กœ

์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋‹ค. VDC(V1)์˜ DC value์˜ ๊ฐ’์„ ์•„๋ž˜์™€ ๊ฐ™์€ ๊ณ„์‚ฐ ๊ฒฐ๊ณผ์น˜ ๋˜๋Š” ์ผ๋ฐ˜ ํ•จ์ˆ˜์‹์œผ๋กœ์„œ ์‹คํšจ์น˜ ๋ฐ ๋ชฉ์ ํ•˜๋Š” ๊ฐ’์„ ํ‘œํ˜„ํ•˜์—ฌ ์‚ฌ์šฉ๋˜๊ธฐ๋„

ํ•œ๋‹ค.

V1TD = {delay}TF = 1u

PW = {duty*1/frequency}PER = {1/frequency}

V1 = 0

TR = 1u

V2 = {Vpeak}

0

R1

1k

PARAMETERS:Vpeak = 1frequency = 1Kduty = 0.2delay = 0.2m

๋‹ค์Œ์€ Pulse Wave๋ฅผ ์ œ์ž‘ ํ•˜๊ธฐ ์œ„ํ•ด ์•„๋ž˜์™€ ๊ฐ™์€ ์„ ์–ธ๋ฌธ์„ ์‚ฌ์šฉํ•˜๋ฉด, Pulse๋ฅผ ๊ตฌ์„ฑํ•˜๋Š” Freq๋ฐ Duty๋งŒ ์กฐ์ •ํ•˜์—ฌ ์ „์ฒด์˜

Attribute๋ฅผ ํŽธ์ง‘ํ•  ํ•„์š”๊ฐ€ ์—†๊ฒŒ ๋œ๋‹ค. ๋ฟ๋งŒ ์•„๋‹ˆ๋ผ, ์ผ๋ฐ˜ ์†Œ์ž๋„ ์ ์šฉ ๋ฒ”์œ„๊ฐ€ ๊ฐ™๊ธฐ ๋•Œ๋ฌธ์— ์ €ํ•ญ์ด ๊ฐ€๋ณ€ ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜

์ ์šฉ๋„ ๋˜ํ•œ ๊ฐ€๋Šฅํ•˜๋‹ค

๋‹ค์Œ์€ Pulse Wave๋ฅผ ์ œ์ž‘ ํ•˜๊ธฐ ์œ„ํ•ด ์•„๋ž˜์™€ ๊ฐ™์€ ์„ ์–ธ๋ฌธ์„ ์‚ฌ์šฉํ•˜๋ฉด, Pulse๋ฅผ ๊ตฌ์„ฑํ•˜๋Š” Freq๋ฐ Duty๋งŒ ์กฐ์ •ํ•˜์—ฌ ์ „์ฒด์˜

Attribute๋ฅผ ํŽธ์ง‘ํ•  ํ•„์š”๊ฐ€ ์—†๊ฒŒ ๋œ๋‹ค. ๋ฟ๋งŒ ์•„๋‹ˆ๋ผ, ์ผ๋ฐ˜ ์†Œ์ž๋„ ์ ์šฉ ๋ฒ”์œ„๊ฐ€ ๊ฐ™๊ธฐ ๋•Œ๋ฌธ์— ์ €ํ•ญ์ด ๊ฐ€๋ณ€ ๋˜๋ฉฐ, ์‹œ๋ฎฌ๋ ˆ์ด์…˜

์ ์šฉ๋„ ๋˜ํ•œ ๊ฐ€๋Šฅํ•˜๋‹ค

Source (Parameter - Special)

์ „์•• ๋ถ„๋ฐฐ์˜ ๋น„์œจ ์กฐ์ •์˜ ์˜ˆ)์ „์•• ๋ถ„๋ฐฐ ๋น„์œจ = R2 / R1+R2์— ์˜ํ•ด

R1๊ณผ R2์˜ ์ „์•• ๋ถ„๋ฐฐ ๋น„์œจ์ด 20%, ๋ถ€ํ•˜ ์ €ํ•ญ์€ 50K์ผ ๊ฒฝ์šฐ,Parameter์— Load = 50kRatio = 0.2๋กœ ์ƒ์„ฑ ๊ฐ€๋Šฅํ•˜๋ฉฐ,Schematic ์ƒ์˜ R1๊ณผ R2์˜ ๊ฐ’์€,R1 Value = {load*(1-ratio)}R2 Value = {load*(ratio)} ๋กœ์„œ ๋น„์œจ์กฐ์ •์„ ์‰ฝ๊ฒŒ

ํ•  ์ˆ˜ ์žˆ์Œ.

์ „์•• ๋ถ„๋ฐฐ์˜ ๋น„์œจ ์กฐ์ •์˜ ์˜ˆ)์ „์•• ๋ถ„๋ฐฐ ๋น„์œจ = R2 / R1+R2์— ์˜ํ•ด

R1๊ณผ R2์˜ ์ „์•• ๋ถ„๋ฐฐ ๋น„์œจ์ด 20%, ๋ถ€ํ•˜ ์ €ํ•ญ์€ 50K์ผ ๊ฒฝ์šฐ,Parameter์— Load = 50kRatio = 0.2๋กœ ์ƒ์„ฑ ๊ฐ€๋Šฅํ•˜๋ฉฐ,Schematic ์ƒ์˜ R1๊ณผ R2์˜ ๊ฐ’์€,R1 Value = {load*(1-ratio)}R2 Value = {load*(ratio)} ๋กœ์„œ ๋น„์œจ์กฐ์ •์„ ์‰ฝ๊ฒŒ

ํ•  ์ˆ˜ ์žˆ์Œ.

88

Stimulus Editor (StmEd)๋Š” transient analog ์™€digital sources๋ฅผ ์ •์˜ํ•˜๋Š” ๊ทธ๋ž˜ํ”ฝ์ „์ธ. ๋ฐฉ๋ฒ•์„ ์ œ๊ณตํ•œ๋‹ค. Stimulus Editor๋Š” ์™ผ์ชฝ์˜ Source์™€ ๊ฐ™์ด ๊ณ ์œ ์˜ Implementation์„ ๊ฐ€์ง€๊ณ 

์žˆ์œผ๋ฉฐ, Implementation name์„ ๋ณ€๊ฒฝํ•˜๋ฉด, ์ €์žฅ๋œ ์ž…๋ ฅ์›์„ ์ฆ‰์‹œ ์‚ฌ์šฉ์ด ๊ฐ€๋Šฅํ•œ ์žฅ์ ์ด ์žˆ์Œ.๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋ช…์นญ์€ VSTIM , ISTIM, DIGSTIM1 ~ 32์˜ ์ด๋ฆ„์œผ๋กœ Sourcstm.lib๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜

๊ฒฝ๋กœ๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๊ณ , ํ•˜๋‚˜์˜ ํ”„๋กœ์ ํŠธ์— ์—ฌ๋Ÿฌ ์ž…๋ ฅ์„ ์ ์šฉ ์‹œ ์œ ์šฉํ•˜๊ฒŒ ์‚ฌ์šฉ๋œ๋‹ค.

Stimulus Editor (StmEd)๋Š” transient analog ์™€digital sources๋ฅผ ์ •์˜ํ•˜๋Š” ๊ทธ๋ž˜ํ”ฝ์ „์ธ. ๋ฐฉ๋ฒ•์„ ์ œ๊ณตํ•œ๋‹ค. Stimulus Editor๋Š” ์™ผ์ชฝ์˜ Source์™€ ๊ฐ™์ด ๊ณ ์œ ์˜ Implementation์„ ๊ฐ€์ง€๊ณ 

์žˆ์œผ๋ฉฐ, Implementation name์„ ๋ณ€๊ฒฝํ•˜๋ฉด, ์ €์žฅ๋œ ์ž…๋ ฅ์›์„ ์ฆ‰์‹œ ์‚ฌ์šฉ์ด ๊ฐ€๋Šฅํ•œ ์žฅ์ ์ด ์žˆ์Œ.๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋ช…์นญ์€ VSTIM , ISTIM, DIGSTIM1 ~ 32์˜ ์ด๋ฆ„์œผ๋กœ Sourcstm.lib๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜

๊ฒฝ๋กœ๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ๊ณ , ํ•˜๋‚˜์˜ ํ”„๋กœ์ ํŠธ์— ์—ฌ๋Ÿฌ ์ž…๋ ฅ์„ ์ ์šฉ ์‹œ ์œ ์šฉํ•˜๊ฒŒ ์‚ฌ์šฉ๋œ๋‹ค.

Sin Wave Stimulus ๋งŒ๋“ค๊ธฐ

1. ์ƒˆ๋กœ์šด ๋„๋ฉด์„ Openํ•œ๋‹ค.2. VSTIM ๋ž€ ์‹ฌ๋ฒŒ์„ ๋ถˆ๋Ÿฌ ๋ฐฐ์น˜ํ•œ๋‹ค. Place/Part Sourcstm.olb3. ๋ฐฐ์น˜ํ•œ VSTIM์„ ํด๋ฆญ ํ›„ EDIT / PSpice Stimulus๋ฅผ ํด๋ฆญํ•˜์—ฌ Stimulus Editor๋ฅผ

Openํ•œ๋‹ค.4. New Stimulus๋ผ๋Š” ๋Œ€ํ™”์ฐฝ์— SIN radio ๋ฒ„ํŠผ์„ ์„ ํƒํ•œ๋‹ค.5. Name๋ž€์— SIN์ด๋ผ๋Š” Implementation Name์„ ์ž…๋ ฅํ•œ๋‹ค. 6. OK .7. Sin Source ์„ค์ • (0 1 60)8. OK ํ•˜๋ฉด 60Hz sine sin wave๋ฅผ ๋ณผ ์ˆ˜ ์žˆ๋‹ค. 9. File Save toolbar button์„ ํด๋ฆญํ•œ๋‹ค.10. ๋„๋ฉด์˜ VSTIM์˜ Implementation = SIN์œผ๋กœ Update๋˜๋ฉฐ, ์„ค์ • ์™„๋ฃŒ.11. ์ด ํ›„ Stimulus Editor์—์„œ ์ƒˆ๋กญ๊ฒŒ ์ œ์ž‘ ์‹œ Menu / Stimulus / New๋ฅผ ํด๋ฆญํ•˜์—ฌ ์‹คํ–‰

Sin Wave Stimulus ๋งŒ๋“ค๊ธฐ

1. ์ƒˆ๋กœ์šด ๋„๋ฉด์„ Openํ•œ๋‹ค.2. VSTIM ๋ž€ ์‹ฌ๋ฒŒ์„ ๋ถˆ๋Ÿฌ ๋ฐฐ์น˜ํ•œ๋‹ค. Place/Part Sourcstm.olb3. ๋ฐฐ์น˜ํ•œ VSTIM์„ ํด๋ฆญ ํ›„ EDIT / PSpice Stimulus๋ฅผ ํด๋ฆญํ•˜์—ฌ Stimulus Editor๋ฅผ

Openํ•œ๋‹ค.4. New Stimulus๋ผ๋Š” ๋Œ€ํ™”์ฐฝ์— SIN radio ๋ฒ„ํŠผ์„ ์„ ํƒํ•œ๋‹ค.5. Name๋ž€์— SIN์ด๋ผ๋Š” Implementation Name์„ ์ž…๋ ฅํ•œ๋‹ค. 6. OK .7. Sin Source ์„ค์ • (0 1 60)8. OK ํ•˜๋ฉด 60Hz sine sin wave๋ฅผ ๋ณผ ์ˆ˜ ์žˆ๋‹ค. 9. File Save toolbar button์„ ํด๋ฆญํ•œ๋‹ค.10. ๋„๋ฉด์˜ VSTIM์˜ Implementation = SIN์œผ๋กœ Update๋˜๋ฉฐ, ์„ค์ • ์™„๋ฃŒ.11. ์ด ํ›„ Stimulus Editor์—์„œ ์ƒˆ๋กญ๊ฒŒ ์ œ์ž‘ ์‹œ Menu / Stimulus / New๋ฅผ ํด๋ฆญํ•˜์—ฌ ์‹คํ–‰

Stimulus Editor

SV9

Implementation =

SI1

Implementation =

S1DSTM1

Implementation =

S2DSTM2

Implementation =

S32DSTM3

Implementation =

45

89

OrCAD PSpice ์ž…๋ ฅ์›์œผ๋กœ ์‚ฌ์šฉ๋˜๋Š” source๋Š” source.lib๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์— ์ €์žฅ ๋˜์–ด์žˆ๊ณ  ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋‚ด์—๋Š” ํฌ๊ฒŒ ์ „์••์› , ์ „๋ฅ˜์› , ๋””์ง€ํ„ธ source๋กœ ๊ตฌ๋ถ„๋˜์–ด์ง„๋‹ค.

OrCAD PSpice ์ž…๋ ฅ์›์œผ๋กœ ์‚ฌ์šฉ๋˜๋Š” source๋Š” source.lib๋ผ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์— ์ €์žฅ ๋˜์–ด์žˆ๊ณ  ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ๋‚ด์—๋Š” ํฌ๊ฒŒ ์ „์••์› , ์ „๋ฅ˜์› , ๋””์ง€ํ„ธ source๋กœ ๊ตฌ๋ถ„๋˜์–ด์ง„๋‹ค.

CLKDigClock

S1STIM1

S8STIM8

F1

SIGNAME = signalFILENAME = file1.stm

FileStim1

DigClock์€ digital signal์„ ์ž…๋ ฅ์›์œผ๋กœ ์‚ฌ์šฉ๋˜๋Š” source๋กœ์„œ ํŠน์„ฑ์€ ๋ฐ˜๋ณต์ ์ธ ์ฃผ๊ธฐ๋ฅผ ๊ฐ–๊ณ  digital signal์„๋งŒ๋“ค์–ด ๋‚ธ๋‹ค.

DigClock์€ digital signal์„ ์ž…๋ ฅ์›์œผ๋กœ ์‚ฌ์šฉ๋˜๋Š” source๋กœ์„œ ํŠน์„ฑ์€ ๋ฐ˜๋ณต์ ์ธ ์ฃผ๊ธฐ๋ฅผ ๊ฐ–๊ณ  digital signal์„๋งŒ๋“ค์–ด ๋‚ธ๋‹ค.

COMMAND1 COMMAND2 COMMAND3 Properties Editor์˜ ์†์„ฑ ํŽธ์ง‘

0s 0 1s 1 2s 0

COMMAND1 COMMAND2 COMMAND3 Properties Editor์˜ ์†์„ฑ ํŽธ์ง‘

0s 0 1s 1 2s 0

COMMAND1 COMMAND2 COMMAND3 STIM8์˜ 8์€ bit๋ฅผ ๋‚˜ํƒ€๋‚ด๋ฉฐ 8bit์˜ Signal source์ž„

0s 00000000 1s 01010101 2s 10101010

COMMAND1 COMMAND2 COMMAND3 STIM8์˜ 8์€ bit๋ฅผ ๋‚˜ํƒ€๋‚ด๋ฉฐ 8bit์˜ Signal source์ž„

0s 00000000 1s 01010101 2s 10101010

FileStim1์€ ์šฐ์ธก์˜ ๋ฉ”๋ชจ์žฅ์„ ์ด์šฉํ•˜์—ฌ ์‹œ๊ทธ๋„์„ ์ œ์ž‘ํ•œ๋‹ค. ์•„๋‚ ๋กœ๊ทธ PWL๊ณผ ์ œ์ž‘๋ฐฉ๋ฒ•์€ ๊ฑฐ์˜

๊ฐ™์œผ๋ฉฐ, ์ฃผ์˜ํ•  ์‚ฌํ•ญ์€ ์šฐ์ธก์˜ ๋ฉ”๋ชจ์žฅ์˜ ๋‚ด์šฉ์— Digital Signal์˜ ๊ฐ Bit๋ณ„ ์ด๋ฆ„์ด ํ•„์š”ํ•˜๊ฒŒ ๋จ.์ด ์ด๋ฆ„์€ Bus์™€ Wire์˜ ์—ฐ๊ฒฐ ์‹œ Wire์— ๊ฐ Bit๋ณ„ Signal Name์„ ์ •์˜ ํ•ด ์ฃผ๊ธฐ ์œ„ํ•จ

FileStim1์€ ์šฐ์ธก์˜ ๋ฉ”๋ชจ์žฅ์„ ์ด์šฉํ•˜์—ฌ ์‹œ๊ทธ๋„์„ ์ œ์ž‘ํ•œ๋‹ค. ์•„๋‚ ๋กœ๊ทธ PWL๊ณผ ์ œ์ž‘๋ฐฉ๋ฒ•์€ ๊ฑฐ์˜

๊ฐ™์œผ๋ฉฐ, ์ฃผ์˜ํ•  ์‚ฌํ•ญ์€ ์šฐ์ธก์˜ ๋ฉ”๋ชจ์žฅ์˜ ๋‚ด์šฉ์— Digital Signal์˜ ๊ฐ Bit๋ณ„ ์ด๋ฆ„์ด ํ•„์š”ํ•˜๊ฒŒ ๋จ.์ด ์ด๋ฆ„์€ Bus์™€ Wire์˜ ์—ฐ๊ฒฐ ์‹œ Wire์— ๊ฐ Bit๋ณ„ Signal Name์„ ์ •์˜ ํ•ด ์ฃผ๊ธฐ ์œ„ํ•จ

Digital Source

์‹œ๊ฐ„^๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ๊ฐ’์‹œ๊ฐ„^๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ๊ฐ’

F1DSTM4

SIGNAME =FILENAME =

90

์•„๋ž˜์˜ ๋„๋ฉด์„ ์˜ˆ์ œ๋กœ ์‚ฌ์šฉํ•˜์—ฌ ๊ณผ๋„ ๋ถ„์„๊ณผ์ •์„ ์‚ดํŽด ๋ณด์ž.Transient analysis์€ Time Domain simulation์ด๋ฉฐ ํšŒ๋กœ์˜ ๊ณผ๋„ ํ˜„์ƒ์„ ์ธก์ •ํ•  ์ˆ˜ ์žˆ๋‹ค. Probe์—์„œ ๋ณธ ๊ฒฐ๊ณผ๋Š” oscilloscope์™€

๊ฐ™์œผ๋ฉฐ, ์‹œ๊ฐ„์€ X์ถ•์œผ๋กœ ์„ค์ • voltage ์™€current๋Š” Y์ถ•์ด๋‹ค.

์•„๋ž˜์˜ ๋„๋ฉด์„ ์˜ˆ์ œ๋กœ ์‚ฌ์šฉํ•˜์—ฌ ๊ณผ๋„ ๋ถ„์„๊ณผ์ •์„ ์‚ดํŽด ๋ณด์ž.Transient analysis์€ Time Domain simulation์ด๋ฉฐ ํšŒ๋กœ์˜ ๊ณผ๋„ ํ˜„์ƒ์„ ์ธก์ •ํ•  ์ˆ˜ ์žˆ๋‹ค. Probe์—์„œ ๋ณธ ๊ฒฐ๊ณผ๋Š” oscilloscope์™€

๊ฐ™์œผ๋ฉฐ, ์‹œ๊ฐ„์€ X์ถ•์œผ๋กœ ์„ค์ • voltage ์™€current๋Š” Y์ถ•์ด๋‹ค.

์ž…๋ ฅ์œผ๋กœ IPWL์„ ์‚ฌ์šฉํ•˜๋ฉฐ, ์ž…๋ ฅ ์ „๋ฅ˜๋Š” Step Pulse๋กœ ์„ค์ •ํ•œ๋‹ค. ์ด ํ›„ L1(์ธ๋•ํ„ฐ)์— ์ธ๊ฐ€๋˜๋Š” ์ „๋ฅ˜์˜ ๊ณผ๋„ ํ˜„์ƒ์„

์ธก์ •ํ•œ๋‹ค.<์‹คํ–‰ ์ˆœ์„œ>1. ์ž…๋ ฅ์› ๋ฐ ์†Œ์ž ๋ฐฐ์น˜ (IPWL, R, L, C)2. ์†Œ์ž ๊ฐ’ ์„ค์ •

3. ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •(Transient)4. ์ „๋ฅ˜ ๋งˆ์ปค ๋ฐฐ์น˜(์ธ๋•ํ„ฐ ์ „๋ฅ˜์˜ ๊ณผ๋„ ํ˜„์ƒ ์ธก์ •)

์ž…๋ ฅ์œผ๋กœ IPWL์„ ์‚ฌ์šฉํ•˜๋ฉฐ, ์ž…๋ ฅ ์ „๋ฅ˜๋Š” Step Pulse๋กœ ์„ค์ •ํ•œ๋‹ค. ์ด ํ›„ L1(์ธ๋•ํ„ฐ)์— ์ธ๊ฐ€๋˜๋Š” ์ „๋ฅ˜์˜ ๊ณผ๋„ ํ˜„์ƒ์„

์ธก์ •ํ•œ๋‹ค.<์‹คํ–‰ ์ˆœ์„œ>1. ์ž…๋ ฅ์› ๋ฐ ์†Œ์ž ๋ฐฐ์น˜ (IPWL, R, L, C)2. ์†Œ์ž ๊ฐ’ ์„ค์ •

3. ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •(Transient)4. ์ „๋ฅ˜ ๋งˆ์ปค ๋ฐฐ์น˜(์ธ๋•ํ„ฐ ์ „๋ฅ˜์˜ ๊ณผ๋„ ํ˜„์ƒ ์ธก์ •)

Time Domain (Transient)

Simulation Profile Transient(Time Domain)

Run to time ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ข…๋ฃŒ ์‹œ๊ฐ„

Maxโ€ฆ ๊ณ„์‚ฐ๋˜๋Š” ํฌ์ธํ„ฐ๋“ค์˜ Step size(์‹œ๊ฐ„๊ฐ„๊ฒฉ์œผ๋กœ ์„ค์ •) Step Sailing(8.0v)

Skipโ€ฆ ์‚ฌ์šฉ๋˜๋Š” Conductor ๋ฐ Inductor์˜ ์ดˆ๊ธฐ์น˜๋ฅผ ๋ฌด์‹œํ•œ๋‹ค

Simulation Profile Transient(Time Domain)

Run to time ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์ข…๋ฃŒ ์‹œ๊ฐ„

Maxโ€ฆ ๊ณ„์‚ฐ๋˜๋Š” ํฌ์ธํ„ฐ๋“ค์˜ Step size(์‹œ๊ฐ„๊ฐ„๊ฒฉ์œผ๋กœ ์„ค์ •) Step Sailing(8.0v)

Skipโ€ฆ ์‚ฌ์šฉ๋˜๋Š” Conductor ๋ฐ Inductor์˜ ์ดˆ๊ธฐ์น˜๋ฅผ ๋ฌด์‹œํ•œ๋‹ค

46

91

์•„๋ž˜์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์—์„œ ๋ณด์ด๋“ฏ์ด ์ผ์ • ์‹œ๊ฐ„์„ ๊ฐ–๊ณ  ์„œ์„œํžˆ ์ „๋ฅ˜๋Ÿ‰์ด ์ฆ๊ฐ€ ๋จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค. ์•„๋ž˜์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์—์„œ ๋ณด์ด๋“ฏ์ด ์ผ์ • ์‹œ๊ฐ„์„ ๊ฐ–๊ณ  ์„œ์„œํžˆ ์ „๋ฅ˜๋Ÿ‰์ด ์ฆ๊ฐ€ ๋จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.

์ธ๋•ํ„ฐ์˜ ์ „๋ฅ˜์˜ ๋ฐ˜์‘ ์‹œ๊ฐ„๊ณผ OverShoot์˜ ํŠน์„ฑ์ด R๊ฐ’์˜

ํฌ๊ธฐ์— ๋”ฐ๋ผ ๋ณ€ํ™” ํ•จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.๋‹ค์‹œ R๊ฐ’์„ 1.5 ohm์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ํ•˜์—ฌ

์ธ๋•ํ„ฐ ์ „๋ฅ˜์˜ ๊ณผ๋„ํ˜„์ƒ์ด ๋ณ€ํ™”ํ•จ์„ ํ™•์ธํ•œ๋‹ค.์ด์ „ ๊ณผ์ œ์—์„œ Trace Evaluate๋ฅผ ์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋‹ค.Rise TimeOvershoot ํ•จ์ˆ˜ ์ ์šฉ.1.5์˜ด ์ผ ๋•Œ์™€ 0.5์˜ด ์ผ ๋•Œ์˜ Rise time๊ณผ Overshoot ๋น„๊ต

์ธ๋•ํ„ฐ์˜ ์ „๋ฅ˜์˜ ๋ฐ˜์‘ ์‹œ๊ฐ„๊ณผ OverShoot์˜ ํŠน์„ฑ์ด R๊ฐ’์˜

ํฌ๊ธฐ์— ๋”ฐ๋ผ ๋ณ€ํ™” ํ•จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.๋‹ค์‹œ R๊ฐ’์„ 1.5 ohm์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ํ•˜์—ฌ

์ธ๋•ํ„ฐ ์ „๋ฅ˜์˜ ๊ณผ๋„ํ˜„์ƒ์ด ๋ณ€ํ™”ํ•จ์„ ํ™•์ธํ•œ๋‹ค.์ด์ „ ๊ณผ์ œ์—์„œ Trace Evaluate๋ฅผ ์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋‹ค.Rise TimeOvershoot ํ•จ์ˆ˜ ์ ์šฉ.1.5์˜ด ์ผ ๋•Œ์™€ 0.5์˜ด ์ผ ๋•Œ์˜ Rise time๊ณผ Overshoot ๋น„๊ต

์œ„์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์™€ ๊ฐ™์ด ์ €ํ•ญ์˜ ๊ฐ’์„ ์—ฌ๋Ÿฌ ๋ฒ”์œ„์— ์ €ํ•ญ ๊ฐ’์œผ๋กœ ๋ณ€๊ฒฝํ•˜์—ฌ ๊ฐ€๋ฉด์„œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, ์ด๊ฒƒ์€

๊ฐ€๋ฉด์ €ํ•ญ์˜ ๊ฐ’์„ ์ผ์ • ๋ฒ”์œ„๋กœ ๊ฐ€๋ณ€(Sweep) ํ•˜๋ฉด์„œ ์˜ค์‹ค๋กœ์Šค์ฝ”ํ”„๋กœ ํ™•์ธํ•˜๋Š” ๊ฒƒ๊ณผ ๊ฐœ๋…์„ ๊ฐ™์ดํ•œ๋‹ค.

์œ„์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์™€ ๊ฐ™์ด ์ €ํ•ญ์˜ ๊ฐ’์„ ์—ฌ๋Ÿฌ ๋ฒ”์œ„์— ์ €ํ•ญ ๊ฐ’์œผ๋กœ ๋ณ€๊ฒฝํ•˜์—ฌ ๊ฐ€๋ฉด์„œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, ์ด๊ฒƒ์€

๊ฐ€๋ฉด์ €ํ•ญ์˜ ๊ฐ’์„ ์ผ์ • ๋ฒ”์œ„๋กœ ๊ฐ€๋ณ€(Sweep) ํ•˜๋ฉด์„œ ์˜ค์‹ค๋กœ์Šค์ฝ”ํ”„๋กœ ํ™•์ธํ•˜๋Š” ๊ฒƒ๊ณผ ๊ฐœ๋…์„ ๊ฐ™์ดํ•œ๋‹ค.

PARAMETERS: <Place part / param / Special.olb><Place part / param / Special.olb>

Simulation Result

92

๋ณ€๊ฒฝํ•˜๊ณ ์ž ํ•˜๋Š” Component Value์˜ ๊ฐ’์„ ์œ„์˜ ๋„๋ฉด๊ณผ ๊ฐ™์ด

{ ์ž„์˜์˜ Variable }๋กœ ์„ค์ •.Place Part์—์„œ Param / Special.olb ๋ฐฐ์น˜

๋ณ€๊ฒฝํ•˜๊ณ ์ž ํ•˜๋Š” Component Value์˜ ๊ฐ’์„ ์œ„์˜ ๋„๋ฉด๊ณผ ๊ฐ™์ด

{ ์ž„์˜์˜ Variable }๋กœ ์„ค์ •.Place Part์—์„œ Param / Special.olb ๋ฐฐ์น˜

New Column : ์ƒˆ๋กœ ์ถ”๊ฐ€๋  Column ์‹œ ์‚ฌ์šฉ

Display : ๋„๋ฉด์ƒ์— Display format ์„ค์ •

New Column : ์ƒˆ๋กœ ์ถ”๊ฐ€๋  Column ์‹œ ์‚ฌ์šฉ

Display : ๋„๋ฉด์ƒ์— Display format ์„ค์ •

Parametric Analysis & Setting

1. Component Value { } ๋ณ€์ˆ˜ ํ™”1. Component Value { } ๋ณ€์ˆ˜ ํ™”

2. Parameter ์„ค์ •2. Parameter ์„ค์ •

์•„๋ž˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด Param์˜ Property Editor์— ComponentValue์˜ ๊ฐ’์œผ๋กœ ์„ค์ • ํ–ˆ๋˜ ๋ณ€์ˆ˜๋ช…์— ํ•ด๋‹นํ•˜๋Š” ์ƒˆ๋กœ์šด

Column์„ ์ƒ์„ฑ ํ›„ Display ์„ค์ •

์•„๋ž˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด Param์˜ Property Editor์— ComponentValue์˜ ๊ฐ’์œผ๋กœ ์„ค์ • ํ–ˆ๋˜ ๋ณ€์ˆ˜๋ช…์— ํ•ด๋‹นํ•˜๋Š” ์ƒˆ๋กœ์šด

Column์„ ์ƒ์„ฑ ํ›„ Display ์„ค์ •

3. Parametric ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •3. Parametric ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

47

93

Parametric sweep๋Š” Sweepํ•  ๋ณ€์ˆ˜๋กœ๋Š” ์ „์••์›, ์ „๋ฅ˜์›,Global Parameter,๋ชจ๋ธ ํŒŒ๋ผ๋ฏธํ„ฐ, ์˜จ๋„ ๋“ฑ์ด ์žˆ๋‹ค. Sweep type์—๋Š” DC sweep์—์„œ ๋ณ€ํ™”ํ•˜๋Š” ๋ณ€์ˆ˜์˜ ์ˆ˜์น˜์™€ ๊ฐ™์ด ์ž…๋ ฅํ•˜๋ฉด ๋œ๋‹ค

Parametric sweep๋Š” Sweepํ•  ๋ณ€์ˆ˜๋กœ๋Š” ์ „์••์›, ์ „๋ฅ˜์›,Global Parameter,๋ชจ๋ธ ํŒŒ๋ผ๋ฏธํ„ฐ, ์˜จ๋„ ๋“ฑ์ด ์žˆ๋‹ค. Sweep type์—๋Š” DC sweep์—์„œ ๋ณ€ํ™”ํ•˜๋Š” ๋ณ€์ˆ˜์˜ ์ˆ˜์น˜์™€ ๊ฐ™์ด ์ž…๋ ฅํ•˜๋ฉด ๋œ๋‹ค

Parametric Sweep (Option)

General Setting ํ›„ ์ถ”๊ฐ€์ ์œผ๋กœ ๋ณ€๊ฒฝ ์‹œํ‚ฌ ๋ณ€์ˆ˜ ๋ช… ์ž…๋ ฅGeneral Setting ํ›„ ์ถ”๊ฐ€์ ์œผ๋กœ ๋ณ€๊ฒฝ ์‹œํ‚ฌ ๋ณ€์ˆ˜ ๋ช… ์ž…๋ ฅ

Variable ์—์„œ ์„ค์ • ๋œ ์ž…๋ ฅ์— ๋Œ€ํ•œ ๋ณ€๊ฒฝ ๋ฒ”์œ„๋ฅผ ์ž…๋ ฅ

์ด๊ฒƒ์€ DC ํ•ด์„์—์„œ์˜ Sweep Type๊ณผ ๊ฐ™๋‹ค.

Variable ์—์„œ ์„ค์ • ๋œ ์ž…๋ ฅ์— ๋Œ€ํ•œ ๋ณ€๊ฒฝ ๋ฒ”์œ„๋ฅผ ์ž…๋ ฅ

์ด๊ฒƒ์€ DC ํ•ด์„์—์„œ์˜ Sweep Type๊ณผ ๊ฐ™๋‹ค.

์œ„์˜ ๋ณ€์ˆ˜ ๋ช… ์ž…๋ ฅ ์‹œ Global Parameter์˜ ๋ณ€์ˆ˜๋Š” Schematic ์ƒ์˜ ์„ค์ • ๋œ ๋ณ€์ˆ˜๋ฅผ ์ง€์ •ํ•˜๊ฒŒ ๋จ.์ด ๋•Œ ๋ณ€์ˆ˜๋Š” Special.olb์˜ param์ด๋ž€ ์†Œ์ž์™€ ํ•จ๊ป˜ ์‚ฌ์šฉ๋œ๋‹ค.

์œ„์˜ ๋ณ€์ˆ˜ ๋ช… ์ž…๋ ฅ ์‹œ Global Parameter์˜ ๋ณ€์ˆ˜๋Š” Schematic ์ƒ์˜ ์„ค์ • ๋œ ๋ณ€์ˆ˜๋ฅผ ์ง€์ •ํ•˜๊ฒŒ ๋จ.์ด ๋•Œ ๋ณ€์ˆ˜๋Š” Special.olb์˜ param์ด๋ž€ ์†Œ์ž์™€ ํ•จ๊ป˜ ์‚ฌ์šฉ๋œ๋‹ค.

94

Performance analysis๋ฅผ ์ˆ˜ํ–‰ํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” Parametric analysis ๋˜๋Š” Monte carlo / worst case๋ฅผ ์‹คํ–‰ํ•ด์•ผ ํ•œ๋‹ค. ์ด๋ ‡๊ฒŒ

Multi simulation์„ ์‹คํ–‰ ์‹œ ๋‹ค์ˆ˜ ์‹คํ–‰์— ๋Œ€ํ•œ ์ข€๋” ์ž์„ธํ•œ ๋ถ„์„์„ ํ•  ์ˆ˜ ์žˆ๋‹ค. ์ด ํ›„ ๋ฒ„์ „์ธ Cadence 14.xx๋ฒ„์ „์—์„œ๋Š” PSpice Measurement๋ผ๋Š” ๊ธฐ๋Šฅ์œผ๋กœ Multi- Simulation์„ ์‹คํ–‰ ํ•˜์ง€ ์•ˆ๋”๋ผ๋„ GoalFunction์„ ์ด์šฉํ•˜์—ฌ Simulation๊ฒฐ๊ณผ ํŒŒํ˜•์— ๋Œ€ํ•œ ์ฐพ๊ณ ์ž ํ•˜๋Š” Point๋ฅผ ์ฐพ์•„ ์ค€๋‹ค.์•ž์—์„œ ํ•œ ์˜ˆ์ œ๋ฅผ ํ†ตํ•˜์—ฌ ์‹ค์Šต์„ ํ•˜๋„๋ก ํ•˜์ž.

Performance analysis๋ฅผ ์ˆ˜ํ–‰ํ•˜๊ธฐ ์œ„ํ•ด์„œ๋Š” Parametric analysis ๋˜๋Š” Monte carlo / worst case๋ฅผ ์‹คํ–‰ํ•ด์•ผ ํ•œ๋‹ค. ์ด๋ ‡๊ฒŒ

Multi simulation์„ ์‹คํ–‰ ์‹œ ๋‹ค์ˆ˜ ์‹คํ–‰์— ๋Œ€ํ•œ ์ข€๋” ์ž์„ธํ•œ ๋ถ„์„์„ ํ•  ์ˆ˜ ์žˆ๋‹ค. ์ด ํ›„ ๋ฒ„์ „์ธ Cadence 14.xx๋ฒ„์ „์—์„œ๋Š” PSpice Measurement๋ผ๋Š” ๊ธฐ๋Šฅ์œผ๋กœ Multi- Simulation์„ ์‹คํ–‰ ํ•˜์ง€ ์•ˆ๋”๋ผ๋„ GoalFunction์„ ์ด์šฉํ•˜์—ฌ Simulation๊ฒฐ๊ณผ ํŒŒํ˜•์— ๋Œ€ํ•œ ์ฐพ๊ณ ์ž ํ•˜๋Š” Point๋ฅผ ์ฐพ์•„ ์ค€๋‹ค.์•ž์—์„œ ํ•œ ์˜ˆ์ œ๋ฅผ ํ†ตํ•˜์—ฌ ์‹ค์Šต์„ ํ•˜๋„๋ก ํ•˜์ž.

์œ„์™€ ๊ฐ™์ด R1์„ 0.5 ohm ~ 1.5 ohm์œผ๋กœ Parametric ๋ถ„์„ ์‹คํ–‰. Probe Window์—์„œ Performance ๋ถ„์„ ์‹คํ–‰.์œ„์™€ ๊ฐ™์ด R1์„ 0.5 ohm ~ 1.5 ohm์œผ๋กœ Parametric ๋ถ„์„ ์‹คํ–‰. Probe Window์—์„œ Performance ๋ถ„์„ ์‹คํ–‰.

Performance analysis & Goal Function

MoreMore

Time

0s 5s 10s 15s 20s... -I(L1)

0A

0.5A

1.0A

1.5A

48

95

Performance Analysis ์‹คํ–‰ ์ˆœ์„œ (Probe Window)1. Trace / Performance Analysisโ€ฆ ํด๋ฆญ

2. ์˜ค๋ฅธ์ชฝ ํ•˜๋‹จ์˜ Performance Analysis ๋Œ€ํ™”์ฐฝ ์ƒ์„ฑ

3-1. Ok ๋ฒ„ํŠผ ํด๋ฆญ ์‹œ : Goal Function ์‹คํ–‰ Trace Add๋ฅผ ํ†ตํ•˜์—ฌ ๋ชฉ์ ํ•˜๋Š” Goal Function์„ ์„ค์ •.

3-2. Wizard ๋ฒ„ํŠผ ํด๋ฆญ ์‹œ : ๊ฐ Step ๋ณ„ ์ง„ํ–‰

Performance Analysis ์‹คํ–‰ ์ˆœ์„œ (Probe Window)1. Trace / Performance Analysisโ€ฆ ํด๋ฆญ

2. ์˜ค๋ฅธ์ชฝ ํ•˜๋‹จ์˜ Performance Analysis ๋Œ€ํ™”์ฐฝ ์ƒ์„ฑ

3-1. Ok ๋ฒ„ํŠผ ํด๋ฆญ ์‹œ : Goal Function ์‹คํ–‰ Trace Add๋ฅผ ํ†ตํ•˜์—ฌ ๋ชฉ์ ํ•˜๋Š” Goal Function์„ ์„ค์ •.

3-2. Wizard ๋ฒ„ํŠผ ํด๋ฆญ ์‹œ : ๊ฐ Step ๋ณ„ ์ง„ํ–‰

Performance analysis : Step1

11

22 3-23-23-13-1

Ok ํด๋ฆญ ์‹œ Plot ์ฐฝ์ด ์ƒˆ๋กญ๊ฒŒ ์ƒ์„ฑ

๋˜๋ฉฐ X์ถ•์˜ ๋ณ€์ˆ˜๋Š” ParametricSweep์— ๋ณ€์ˆ˜๋กœ ์‚ฌ์šฉ๋œ ์ €ํ•ญ ๊ฐ’์˜

๋ฒ”์œ„๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค.

Ok ํด๋ฆญ ์‹œ Plot ์ฐฝ์ด ์ƒˆ๋กญ๊ฒŒ ์ƒ์„ฑ

๋˜๋ฉฐ X์ถ•์˜ ๋ณ€์ˆ˜๋Š” ParametricSweep์— ๋ณ€์ˆ˜๋กœ ์‚ฌ์šฉ๋œ ์ €ํ•ญ ๊ฐ’์˜

๋ฒ”์œ„๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค.

Wizard ํด๋ฆญ ์‹œ ์œ„์˜ ๊ทธ๋ฆผ๊ณผ

๊ฐ™์ด Goal Function ์ •์˜ํ•˜๊ธฐ

์œ„ํ•œ ๊ณผ์ •์œผ๋กœ ์ง„ํ–‰ ๋œ๋‹ค.

Wizard ํด๋ฆญ ์‹œ ์œ„์˜ ๊ทธ๋ฆผ๊ณผ

๊ฐ™์ด Goal Function ์ •์˜ํ•˜๊ธฐ

์œ„ํ•œ ๊ณผ์ •์œผ๋กœ ์ง„ํ–‰ ๋œ๋‹ค.

MoreMore

96

์ด ๊ณผ์ •์€ OK๋ฅผ ํด๋ฆญํ•œ ๊ณผ์ •์„ 4๋‹จ๊ณ„๋กœ ๋‚˜๋ˆ„์–ด Goal Function์„ ์ƒ์„ฑํ•ด ๋‚ด๋Š” ๊ณผ์ •์ด๋‹ค. Wizard๋ฅผ ์ด์šฉํ•  ๊ฒฝ์šฐ Goal Function์— ํ‘œํ˜„ ๋˜์ง€ ์•Š์€

ํ•จ์ˆ˜๋ฅผ ์ƒˆ๋กญ๊ฒŒ ๋งŒ๋“œ๋Š” ๊ธฐ๋Šฅ๋„ ๊ฐ€์ง€๊ณ  ์žˆ๋‹ค.์ผ๋ฐ˜์ ์œผ๋กœ ์ง€์›๋˜๋Š” Function์„ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉํ•˜๊ธฐ๋กœ ํ•œ๋‹ค.

๋จผ์ € ํšŒ๋กœ์—์„œ ๊ฐ€๋ณ€ ๋œ ์ €ํ•ญ ๊ฐ’์— ๋”ฐ๋ผ L์— ์ธ๊ฐ€๋˜์–ด ์ง€๋Š”

์ „๋ฅ˜๋Ÿ‰์„ ํ•ด์„ํ•˜๊ธฐ ์œ„ํ•ด Choose a Measurement์—Rise Time์„ ์„ ํƒํ•œ๋‹ค.

์ด ๊ณผ์ •์€ OK๋ฅผ ํด๋ฆญํ•œ ๊ณผ์ •์„ 4๋‹จ๊ณ„๋กœ ๋‚˜๋ˆ„์–ด Goal Function์„ ์ƒ์„ฑํ•ด ๋‚ด๋Š” ๊ณผ์ •์ด๋‹ค. Wizard๋ฅผ ์ด์šฉํ•  ๊ฒฝ์šฐ Goal Function์— ํ‘œํ˜„ ๋˜์ง€ ์•Š์€

ํ•จ์ˆ˜๋ฅผ ์ƒˆ๋กญ๊ฒŒ ๋งŒ๋“œ๋Š” ๊ธฐ๋Šฅ๋„ ๊ฐ€์ง€๊ณ  ์žˆ๋‹ค.์ผ๋ฐ˜์ ์œผ๋กœ ์ง€์›๋˜๋Š” Function์„ ์ด์šฉํ•˜์—ฌ ์‚ฌ์šฉํ•˜๊ธฐ๋กœ ํ•œ๋‹ค.

๋จผ์ € ํšŒ๋กœ์—์„œ ๊ฐ€๋ณ€ ๋œ ์ €ํ•ญ ๊ฐ’์— ๋”ฐ๋ผ L์— ์ธ๊ฐ€๋˜์–ด ์ง€๋Š”

์ „๋ฅ˜๋Ÿ‰์„ ํ•ด์„ํ•˜๊ธฐ ์œ„ํ•ด Choose a Measurement์—Rise Time์„ ์„ ํƒํ•œ๋‹ค.

Risetime_StepResponse(trace name) Step Response์— ๋Œ€ํ•œ ์ž„์˜์˜ Node์—์„œ Risetime Find the first and final Y Values of the trace. Then find the difference between the X values of the points where the trace first crosses 10%then 90% of the range between its starting and final values with a positive slope.

(Find the risetime of a step response curve.)๊ฐ Wave ๋งˆ๋‹ค ์œ„์˜ ํ˜•์‹์œผ๋กœ ํ•˜๋‚˜์˜ ํŒŒํ˜•์˜ ์ „์ฒด Rising Time์ค‘ ์ดˆ๊ธฐ 10% ๋˜๋Š” ์ง€์ ๊ณผ ์ข…๋ฃŒ 90% ๋˜๋Š” ์ง€์ ์˜ Point๋ฅผ ์ฐพ๊ณ 

๋‘ Point์— ๋Œ€ํ•œ ์‹œ๊ฐ„์„ ๊ณ„์‚ฐ์œผ๋กœ ์„ค์ • ๋˜์–ด ์žˆ๋‹ค. ์ด๊ฒƒ์€ ํŽธ์ง‘์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

Risetime_StepResponse(trace name) Step Response์— ๋Œ€ํ•œ ์ž„์˜์˜ Node์—์„œ Risetime Find the first and final Y Values of the trace. Then find the difference between the X values of the points where the trace first crosses 10%then 90% of the range between its starting and final values with a positive slope.

(Find the risetime of a step response curve.)๊ฐ Wave ๋งˆ๋‹ค ์œ„์˜ ํ˜•์‹์œผ๋กœ ํ•˜๋‚˜์˜ ํŒŒํ˜•์˜ ์ „์ฒด Rising Time์ค‘ ์ดˆ๊ธฐ 10% ๋˜๋Š” ์ง€์ ๊ณผ ์ข…๋ฃŒ 90% ๋˜๋Š” ์ง€์ ์˜ Point๋ฅผ ์ฐพ๊ณ 

๋‘ Point์— ๋Œ€ํ•œ ์‹œ๊ฐ„์„ ๊ณ„์‚ฐ์œผ๋กœ ์„ค์ • ๋˜์–ด ์žˆ๋‹ค. ์ด๊ฒƒ์€ ํŽธ์ง‘์ด ๊ฐ€๋Šฅํ•˜๋‹ค.

์œ„์™€ ๊ฐ™์ด ์—ฌ๋Ÿฌ ๊ฐ€์ง€์˜ Goal Function์„ ํ™•์ธ ํ•˜๊ณ , ํŽธ์ง‘ ๋ชจ๋“œ๋Š” Measurements๋ฅผ ํด๋ฆญํ•˜์—ฌ ์‹คํ–‰ํ•œ๋‹ค. ์ด ํ›„ Next๋กœ ๋‹ค์Œ๊ณผ์ •์œผ๋กœ ๋„˜์–ด๊ฐ„๋‹ค.

์œ„์™€ ๊ฐ™์ด ์—ฌ๋Ÿฌ ๊ฐ€์ง€์˜ Goal Function์„ ํ™•์ธ ํ•˜๊ณ , ํŽธ์ง‘ ๋ชจ๋“œ๋Š” Measurements๋ฅผ ํด๋ฆญํ•˜์—ฌ ์‹คํ–‰ํ•œ๋‹ค. ์ด ํ›„ Next๋กœ ๋‹ค์Œ๊ณผ์ •์œผ๋กœ ๋„˜์–ด๊ฐ„๋‹ค.

Performance analysis : Step 2

Performance analysis WizardPerformance analysis Wizard

Risetime_StepResponse(trace name)Risetime_StepResponse(trace name)

MoreMore

49

97

์ขŒ์ธก์˜ ๋Œ€ํ™”์ฐฝ์€ ์ด์ „ ๋‹จ๊ณ„์—์„œ ์„ ํƒํ•œ Goal Function์„

Evaluationํ•˜๋Š” ๊ณผ์ •์ด๋‹ค.Simulation ๊ฒฐ๊ณผ๋กœ ์ถœ๋ ฅ ๋˜์–ด ์žˆ๋Š” -I(L1)์„ Name of trace to search์— ์ž…๋ ฅ ํ•˜์—ฌ ์ธก์ • ๋˜์–ด์•ผ ํ•  Trace Name์„ ์„ค์ •

ํ•œ๋‹ค. ๋…ธ๋“œ๋ช…์— ๋Œ€ํ•œ Trace Name์€ ๋‹จ์ถ•์•„์ด์ฝ˜์„ ํด๋ฆญ ํ•˜์—ฌ

์‹คํ–‰ ๊ฐ€๋Šฅ.

์ขŒ์ธก์˜ ๋Œ€ํ™”์ฐฝ์€ ์ด์ „ ๋‹จ๊ณ„์—์„œ ์„ ํƒํ•œ Goal Function์„

Evaluationํ•˜๋Š” ๊ณผ์ •์ด๋‹ค.Simulation ๊ฒฐ๊ณผ๋กœ ์ถœ๋ ฅ ๋˜์–ด ์žˆ๋Š” -I(L1)์„ Name of trace to search์— ์ž…๋ ฅ ํ•˜์—ฌ ์ธก์ • ๋˜์–ด์•ผ ํ•  Trace Name์„ ์„ค์ •

ํ•œ๋‹ค. ๋…ธ๋“œ๋ช…์— ๋Œ€ํ•œ Trace Name์€ ๋‹จ์ถ•์•„์ด์ฝ˜์„ ํด๋ฆญ ํ•˜์—ฌ

์‹คํ–‰ ๊ฐ€๋Šฅ.

MoreMore

Performance analysis : Step3

98

์ขŒ์ธก์˜ ๊ณ„์‚ฐ๋œ Point๋“ค์€

P1 : ์ดˆ๊ธฐ Rise๋˜๋Š” PointP2(์ข…๋ฃŒ Rise๋˜๋Š” Point)P3(์ „์ฒด Rise๋˜๋Š” ์‹œ๊ฐ„์˜ 10% ์ง€์ )P4(์ „์ฒด Rise๋˜๋Š” ์‹œ๊ฐ„์˜ 90% ์ง€์ )์œผ๋กœ ํ‘œํ˜„๋œ๋‹ค.

์ขŒ์ธก์˜ ๊ณ„์‚ฐ๋œ Point๋“ค์€

P1 : ์ดˆ๊ธฐ Rise๋˜๋Š” PointP2(์ข…๋ฃŒ Rise๋˜๋Š” Point)P3(์ „์ฒด Rise๋˜๋Š” ์‹œ๊ฐ„์˜ 10% ์ง€์ )P4(์ „์ฒด Rise๋˜๋Š” ์‹œ๊ฐ„์˜ 90% ์ง€์ )์œผ๋กœ ํ‘œํ˜„๋œ๋‹ค.

์ด์™€ ๊ฐ™์ด Parametric analysis๋กœ display๋˜์—ˆ๋˜ ํŒŒํ˜•๋“ค์„ ์œ„์™€ ๊ฐ™์ด

๊ณ„์‚ฐ์— ํฌํ•จ ๋˜๊ณ , ๋‚˜ํƒ€๋‚  Plot(probe Window)์˜ X์ถ•์—๋Š” ๊ฐ€๋ณ€ ๋œ ์ €ํ•ญ

์˜ ํ•จ์ˆ˜๋กœ ์ง€์ • ๋œ๋‹ค.๊ฒฐ๊ณผ์ ์œผ๋กœ Plot ์—์„œ ์ฝ์„ ์ˆ˜ ์žˆ๋Š” ํ•จ์ˆ˜๋Š” ์ตœ์ข… ๋ชฉ์ ์œผ๋กœ ํ•˜๋Š”

์ €ํ•ญ์˜ ๋ณ€ํ™”๋Ÿ‰์— ๋Œ€ํ•œ ์ธ๋•ํ„ฐ์˜ ์ „๋ฅ˜์ถฉ์ „ ์‹œ๊ฐ„์„ ํ•œ ํ™”๋ฉด์— Display ํ•œ๋‹ค.Y์ถ•์—๋Š” P3 Point์—์„œ P4๊นŒ์ง€ ๊ฑธ๋ฆฐ ์‹œ๊ฐ„์ด ๊ณ„์‚ฐ

์ด์™€ ๊ฐ™์ด Parametric analysis๋กœ display๋˜์—ˆ๋˜ ํŒŒํ˜•๋“ค์„ ์œ„์™€ ๊ฐ™์ด

๊ณ„์‚ฐ์— ํฌํ•จ ๋˜๊ณ , ๋‚˜ํƒ€๋‚  Plot(probe Window)์˜ X์ถ•์—๋Š” ๊ฐ€๋ณ€ ๋œ ์ €ํ•ญ

์˜ ํ•จ์ˆ˜๋กœ ์ง€์ • ๋œ๋‹ค.๊ฒฐ๊ณผ์ ์œผ๋กœ Plot ์—์„œ ์ฝ์„ ์ˆ˜ ์žˆ๋Š” ํ•จ์ˆ˜๋Š” ์ตœ์ข… ๋ชฉ์ ์œผ๋กœ ํ•˜๋Š”

์ €ํ•ญ์˜ ๋ณ€ํ™”๋Ÿ‰์— ๋Œ€ํ•œ ์ธ๋•ํ„ฐ์˜ ์ „๋ฅ˜์ถฉ์ „ ์‹œ๊ฐ„์„ ํ•œ ํ™”๋ฉด์— Display ํ•œ๋‹ค.Y์ถ•์—๋Š” P3 Point์—์„œ P4๊นŒ์ง€ ๊ฑธ๋ฆฐ ์‹œ๊ฐ„์ด ๊ณ„์‚ฐ

Performance analysis : Step4

rval

0.4 0.6 0.8 1.0 1.2 1.4 1.6Risetime_StepResponse(-I(L1))

1.0

2.0

3.0

4.0

Rval Risetime_StepResponse(-I(L1))0.5 3.35788971559004

1 1.63763449966019

1.5 1.36402374711397

Rval Risetime_StepResponse(-I(L1))0.5 3.35788971559004

1 1.63763449966019

1.5 1.36402374711397

50

99

๋‹ค์ด์˜ค๋“œ์˜ ์˜จ๋„ ํŠน์„ฑ

์ˆœ๋ฐฉํ–ฅ ์ „์•• ์˜จ๋„ํŠน์„ฑ์€ ์ˆœ๋ฐฉํ–ฅ ์ „์•• Vf๊ฐ€ โ€“2mV / C์˜ ํŠน์„ฑ์„ ๊ฐ€์ง€๋ฏ€๋กœ ์ˆœ๋ฐฉํ–ฅ ์ „๋ฅ˜๊ฐ€ ์ผ์ •ํ•œ ์ƒํƒœ์—์„œ ์˜จ๋„ ์ฆ๊ฐ€์— ๋”ฐ๋ผ

์ˆœ๋ฐฉํ–ฅ ์ „์•• Vf๊ฐ€ ์ˆ˜ํ‰์ถ• ์ด๋™ํ•  ๊ฒƒ์ด๋ฉฐ ์—ญ ๋ฐฉํ–ฅ ์ „๋ฅ˜ ์˜จ๋„ํŠน์„ฑ์€ ์—ญ ๋ฐฉํ–ฅ ์ „๋ฅ˜๊ฐ€ ์˜จ๋„ 10๋„ ์ฆ๊ฐ€์— ๋”ฐ๋ผ 2๋ฐฐ ์ฆ๊ฐ€ ๋จ์„

์„ ํ™•์ธํ•œ๋‹ค.

๋‹ค์ด์˜ค๋“œ์˜ ์˜จ๋„ ํŠน์„ฑ

์ˆœ๋ฐฉํ–ฅ ์ „์•• ์˜จ๋„ํŠน์„ฑ์€ ์ˆœ๋ฐฉํ–ฅ ์ „์•• Vf๊ฐ€ โ€“2mV / C์˜ ํŠน์„ฑ์„ ๊ฐ€์ง€๋ฏ€๋กœ ์ˆœ๋ฐฉํ–ฅ ์ „๋ฅ˜๊ฐ€ ์ผ์ •ํ•œ ์ƒํƒœ์—์„œ ์˜จ๋„ ์ฆ๊ฐ€์— ๋”ฐ๋ผ

์ˆœ๋ฐฉํ–ฅ ์ „์•• Vf๊ฐ€ ์ˆ˜ํ‰์ถ• ์ด๋™ํ•  ๊ฒƒ์ด๋ฉฐ ์—ญ ๋ฐฉํ–ฅ ์ „๋ฅ˜ ์˜จ๋„ํŠน์„ฑ์€ ์—ญ ๋ฐฉํ–ฅ ์ „๋ฅ˜๊ฐ€ ์˜จ๋„ 10๋„ ์ฆ๊ฐ€์— ๋”ฐ๋ผ 2๋ฐฐ ์ฆ๊ฐ€ ๋จ์„

์„ ํ™•์ธํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์กฐ๊ฑด

Sweep Variable V1 start value : โ€“1 end value : 2 Increment : 0.01V

Option Parametric Value list : -100, 0, 100 .

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์กฐ๊ฑด

Sweep Variable V1 start value : โ€“1 end value : 2 Increment : 0.01V

Option Parametric Value list : -100, 0, 100 .

D1D1N4148

R11

V10Vdc

0

Parametric Analysis (Temperature Sweep)

100

<ํšŒ๋กœ๋„ ์ž‘์„ฑ>R-C low pass filter์™€ ๋น„๊ต๊ธฐ๋ฅผ ์ด์šฉํ•˜์—ฌ

๊ตฌ์„ฑ.RC filter์˜ ์‹œ์ • ์ˆ˜ R*C =1msec๊ฐ€ ๋˜๋Š”

R, C์กฐํ•ฉ์„ ๋งŒ๋“ค๊ณ , ์ด๋•Œ์˜ ํ•„ํ„ฐ ์ถœ๋ ฅ

์ „์••์ด ์ž…๋ ฅ์ „์••(VIN= 10V)์˜ ์•ฝ 63%๊ฐ€

๋˜๋Š” ์‹œ์ ์ด๋ฏ€๋กœ, ๋น„๊ต ref์ „์••์ด 6.3V๊ฐ€

๋˜๋Š” ๋ถ„์•• ํšŒ๋กœ๋ฅผ ๊ตฌ์„ฑ. .Param(Vdc:10V, Rup:3.7ohm, Rdown:6.3 ohm)

<ํšŒ๋กœ๋„ ์ž‘์„ฑ>R-C low pass filter์™€ ๋น„๊ต๊ธฐ๋ฅผ ์ด์šฉํ•˜์—ฌ

๊ตฌ์„ฑ.RC filter์˜ ์‹œ์ • ์ˆ˜ R*C =1msec๊ฐ€ ๋˜๋Š”

R, C์กฐํ•ฉ์„ ๋งŒ๋“ค๊ณ , ์ด๋•Œ์˜ ํ•„ํ„ฐ ์ถœ๋ ฅ

์ „์••์ด ์ž…๋ ฅ์ „์••(VIN= 10V)์˜ ์•ฝ 63%๊ฐ€

๋˜๋Š” ์‹œ์ ์ด๋ฏ€๋กœ, ๋น„๊ต ref์ „์••์ด 6.3V๊ฐ€

๋˜๋Š” ๋ถ„์•• ํšŒ๋กœ๋ฅผ ๊ตฌ์„ฑ. .Param(Vdc:10V, Rup:3.7ohm, Rdown:6.3 ohm)

Parametric Analysis (์ „์› ๋ณ€๋™์— ์˜ํ•œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜)

Parametric Variable : Source(์ „์›)์˜ ๋ณ€๋™์— ์˜ํ•œ ์˜ํ–ฅ

๊ณผ๋„ ๋ถ„์„๊ณผ Parametric ๋ถ„์„.ํšŒ๋กœ ๋ถ„์„ ๋ชฉ์ .

- ์ž…๋ ฅ(Vin)์˜ rise-edge trigger๊ฐ€ ๋ฐœ์ƒํ•˜๋ฉด, ์ž…๋ ฅ์— ๋Œ€ํ•ด 1msec์˜ Delay๋œ ์ถœ๋ ฅ (Vo)๋ฅผ ๋ฐœ์ƒํ•˜๋Š” ํŽ„์Šค ๋ฐœ์ƒ๊ธฐ

- Delay์˜ ์„ค๊ณ„ ๊ณต์ฐจ๋Š” ์ƒํ•œ ์น˜ 1.1 ms, ํ•˜ํ•œ ์น˜ 0.9 msParametric ๋ถ„์„์„ ํ†ตํ•œ VDC์ „์› ๋ณ€๋™

{VDC}์˜ ์ „์›์˜ ์˜ค์ฐจ ๋ฒ”์œ„๋ฅผ 10%๋กœ ๊ฐ€์ • ํ•˜์˜€์„ ๋•Œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ํ›„ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

Parametric Variable : Source(์ „์›)์˜ ๋ณ€๋™์— ์˜ํ•œ ์˜ํ–ฅ

๊ณผ๋„ ๋ถ„์„๊ณผ Parametric ๋ถ„์„.ํšŒ๋กœ ๋ถ„์„ ๋ชฉ์ .

- ์ž…๋ ฅ(Vin)์˜ rise-edge trigger๊ฐ€ ๋ฐœ์ƒํ•˜๋ฉด, ์ž…๋ ฅ์— ๋Œ€ํ•ด 1msec์˜ Delay๋œ ์ถœ๋ ฅ (Vo)๋ฅผ ๋ฐœ์ƒํ•˜๋Š” ํŽ„์Šค ๋ฐœ์ƒ๊ธฐ

- Delay์˜ ์„ค๊ณ„ ๊ณต์ฐจ๋Š” ์ƒํ•œ ์น˜ 1.1 ms, ํ•˜ํ•œ ์น˜ 0.9 msParametric ๋ถ„์„์„ ํ†ตํ•œ VDC์ „์› ๋ณ€๋™

{VDC}์˜ ์ „์›์˜ ์˜ค์ฐจ ๋ฒ”์œ„๋ฅผ 10%๋กœ ๊ฐ€์ • ํ•˜์˜€์„ ๋•Œ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰ ํ›„ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

51

101

์ „์› ๋ณ€๋™ ์˜ํ–ฅ

๊ณผ๋„ ๋ถ„์„๊ณผ Parametric ๋ถ„์„.์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

.TRAN 0 5ms 0

.STEP LIN PARAM vdc 9 10 0.01 Run Simulation Probe Window์—์„œ Performance Analysis ์‹คํ–‰ ํ›„ Goal Function์„ ์ด์šฉํ•˜์—ฌ VDC์˜ ๋ณ€ํ™”์— ๋”ฐ๋ฅธ V(out)์˜ ๋ณ€ํ™”๋Ÿ‰ ํ™•์ธ

์ „์› ๋ณ€๋™ ์˜ํ–ฅ

๊ณผ๋„ ๋ถ„์„๊ณผ Parametric ๋ถ„์„.์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

.TRAN 0 5ms 0

.STEP LIN PARAM vdc 9 10 0.01 Run Simulation Probe Window์—์„œ Performance Analysis ์‹คํ–‰ ํ›„ Goal Function์„ ์ด์šฉํ•˜์—ฌ VDC์˜ ๋ณ€ํ™”์— ๋”ฐ๋ฅธ V(out)์˜ ๋ณ€ํ™”๋Ÿ‰ ํ™•์ธ

Probe Window / Trace / Performance Analysis ์‹คํ–‰Probe Window / Trace / Performance Analysis ์‹คํ–‰

Parametric Analysis (Simulation Result)

Parametric Simulation ResultParametric Simulation Result

Goal Function ์„ ํƒ

XatNthY(trace name, Y_value, n_occur)Find the value of X corresponding to the nth occurrence of the given Y_value, for the specified trace.

XatNthY(V(out),5,1) : ์ฐพ๊ณ ์ž ํ•˜๋Š” ํŒŒํ˜•์˜ Y๊ฐ’์ด 5๋ฅผ

๊ฐ–๋Š” ์ฒซ๋ฒˆ์งธ Point๋ฅผ ๊ฒ€์ƒ‰.

Goal Function ์„ ํƒ

XatNthY(trace name, Y_value, n_occur)Find the value of X corresponding to the nth occurrence of the given Y_value, for the specified trace.

XatNthY(V(out),5,1) : ์ฐพ๊ณ ์ž ํ•˜๋Š” ํŒŒํ˜•์˜ Y๊ฐ’์ด 5๋ฅผ

๊ฐ–๋Š” ์ฒซ๋ฒˆ์งธ Point๋ฅผ ๊ฒ€์ƒ‰.

Performance Analysis ์‹คํ–‰Performance Analysis ์‹คํ–‰

102

Monte Carlo and worst-case analyses๋Š” ํ‘œ์ค€์‹คํ–‰๊ณผ ํ•จ๊ป˜ ๋‹ค์ค‘์‹คํ–‰์„ ํ•  ๋•Œ ์†Œ์ž์˜ Lot ํ˜น์€ Dev Tolerance๋ฅผ ๋ฐ”๊พธ๋Š” ๊ฒƒ์ด๋‹ค. ํ•ด์„์„ ์‹คํ–‰ํ•˜๊ธฐ ์ „์— ๋ฐ˜๋“œ์‹œ ์กฐ์‚ฌ๋˜์–ด์งˆ ์ˆ˜ ์žˆ๋Š” ๋ชจ๋ธ ํŒŒ๋ผ๋ฏธํ„ฐ์˜ model ํ˜น์€ lot tolerance๋ฅผ ์„ค์ •ํ•ด์•ผ๋งŒ ํ•œ๋‹ค. Monte Carlo ํ•ด์„์€ ์ˆ˜ํ–‰๋˜์–ด์งˆ ํšŒ๋กœ์˜ Monte Carlo(ํ†ต๊ณ„์ ์ธ)ํ•ด์„์„ ์œ ๋ฐœํ•œ๋‹ค. tolerance๊ฐ€ ์ œ์‹œ๋˜๋Š” ๋ชจ๋“  ์†Œ์ž์˜ ๋ชจ๋ธ

Parameter๋ฅผ ์œ ํšจํ•œ ๋™์•ˆ randomํ•˜๊ฒŒ ๋ณ€ํ™”์‹œ์ผœ๊ฐ์œผ๋กœ์จ ๋ถ€ํ’ˆ์˜ ๊ฐ’์„ ๋ณ€ํ™”์‹œ์ผœ ํšŒ๋กœ์˜ ์‘๋‹ต์„ ๊ณ„์‚ฐํ•œ๋‹ค. ์ด๊ฒƒ์€ ์†Œ์ž ๋ณ€์ˆ˜์˜ ๋ณ€ํ™”์˜ ์ˆœ๊ฐ„์— ํ†ต๊ณ„์  Data๋ฅผ ์ œ๊ณตํ•œ๋‹ค.

Worst-Case ํ•ด์„์€ ์ˆ˜ํ–‰๋˜์–ด์งˆ ํšŒ๋กœ์˜ ๋ฏผ๊ฐ๋„์™€ worst-caseํ•ด์„์„ ์œ ๋ฐœํ•œ๋‹ค.Sensitivity/worst-case ํ•ด์„์€ random number๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ ๋ณด๋‹ค Sensitivity data๋ฅผ ์‚ฌ์šฉํ•˜๋Š” Parameter๋ฅผ ๊ณ„์‚ฐํ•˜๋Š”๋ฐ ์žˆ์–ด์„œ

Monte Carlo ํ•ด์„๊ณผ ๋‹ค๋ฅด๋‹ค.ํ†ต๊ณ„์  ํ•ด์„์„ ์ˆ˜ํ–‰ํ•  ๋•Œ Monte Carlo ํ˜น์€ Worst-Case ํ•ด์„ ์ค‘ ํ•˜๋‚˜๋ฅผ ์‹คํ–‰ํ•  ์ˆ˜ ์žˆ์ง€๋งŒ ๋™์‹œ์— ๋‘ ๊ฐœ๋ฅผ ๊ฐ™์ด ์‹คํ–‰ํ•  ์ˆ˜๋Š”

์—†๋‹ค. Parameter๋“ค์ด ์œ ํšจํ•œ ๋™์•ˆ ์„ ํƒ๋œ ํ•ด์„์˜ ๋‹ค์ค‘์‹คํ–‰์€ ํ–‰ํ•ด์ง„๋‹ค.

๋‹ค์Œ ์žฅ์— ์„ค๋ช…ํ•˜๋Š” ๋‚ด์šฉ์€ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋กœ์„œ ํ†ต๊ณ„์ ์ธ ๋ถ„์„์„ ์œ„ํ•œ ๊ธฐ๋ณธ์ ์ธ ๊ฐœ๋…์„ ์†Œ๊ฐœ ํ•œ๋‹ค.

Monte Carlo and worst-case analyses๋Š” ํ‘œ์ค€์‹คํ–‰๊ณผ ํ•จ๊ป˜ ๋‹ค์ค‘์‹คํ–‰์„ ํ•  ๋•Œ ์†Œ์ž์˜ Lot ํ˜น์€ Dev Tolerance๋ฅผ ๋ฐ”๊พธ๋Š” ๊ฒƒ์ด๋‹ค. ํ•ด์„์„ ์‹คํ–‰ํ•˜๊ธฐ ์ „์— ๋ฐ˜๋“œ์‹œ ์กฐ์‚ฌ๋˜์–ด์งˆ ์ˆ˜ ์žˆ๋Š” ๋ชจ๋ธ ํŒŒ๋ผ๋ฏธํ„ฐ์˜ model ํ˜น์€ lot tolerance๋ฅผ ์„ค์ •ํ•ด์•ผ๋งŒ ํ•œ๋‹ค. Monte Carlo ํ•ด์„์€ ์ˆ˜ํ–‰๋˜์–ด์งˆ ํšŒ๋กœ์˜ Monte Carlo(ํ†ต๊ณ„์ ์ธ)ํ•ด์„์„ ์œ ๋ฐœํ•œ๋‹ค. tolerance๊ฐ€ ์ œ์‹œ๋˜๋Š” ๋ชจ๋“  ์†Œ์ž์˜ ๋ชจ๋ธ

Parameter๋ฅผ ์œ ํšจํ•œ ๋™์•ˆ randomํ•˜๊ฒŒ ๋ณ€ํ™”์‹œ์ผœ๊ฐ์œผ๋กœ์จ ๋ถ€ํ’ˆ์˜ ๊ฐ’์„ ๋ณ€ํ™”์‹œ์ผœ ํšŒ๋กœ์˜ ์‘๋‹ต์„ ๊ณ„์‚ฐํ•œ๋‹ค. ์ด๊ฒƒ์€ ์†Œ์ž ๋ณ€์ˆ˜์˜ ๋ณ€ํ™”์˜ ์ˆœ๊ฐ„์— ํ†ต๊ณ„์  Data๋ฅผ ์ œ๊ณตํ•œ๋‹ค.

Worst-Case ํ•ด์„์€ ์ˆ˜ํ–‰๋˜์–ด์งˆ ํšŒ๋กœ์˜ ๋ฏผ๊ฐ๋„์™€ worst-caseํ•ด์„์„ ์œ ๋ฐœํ•œ๋‹ค.Sensitivity/worst-case ํ•ด์„์€ random number๋ฅผ ์‚ฌ์šฉํ•˜๊ธฐ ๋ณด๋‹ค Sensitivity data๋ฅผ ์‚ฌ์šฉํ•˜๋Š” Parameter๋ฅผ ๊ณ„์‚ฐํ•˜๋Š”๋ฐ ์žˆ์–ด์„œ

Monte Carlo ํ•ด์„๊ณผ ๋‹ค๋ฅด๋‹ค.ํ†ต๊ณ„์  ํ•ด์„์„ ์ˆ˜ํ–‰ํ•  ๋•Œ Monte Carlo ํ˜น์€ Worst-Case ํ•ด์„ ์ค‘ ํ•˜๋‚˜๋ฅผ ์‹คํ–‰ํ•  ์ˆ˜ ์žˆ์ง€๋งŒ ๋™์‹œ์— ๋‘ ๊ฐœ๋ฅผ ๊ฐ™์ด ์‹คํ–‰ํ•  ์ˆ˜๋Š”

์—†๋‹ค. Parameter๋“ค์ด ์œ ํšจํ•œ ๋™์•ˆ ์„ ํƒ๋œ ํ•ด์„์˜ ๋‹ค์ค‘์‹คํ–‰์€ ํ–‰ํ•ด์ง„๋‹ค.

๋‹ค์Œ ์žฅ์— ์„ค๋ช…ํ•˜๋Š” ๋‚ด์šฉ์€ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋กœ์„œ ํ†ต๊ณ„์ ์ธ ๋ถ„์„์„ ์œ„ํ•œ ๊ธฐ๋ณธ์ ์ธ ๊ฐœ๋…์„ ์†Œ๊ฐœ ํ•œ๋‹ค.

Monte Carlo / Worst-case Analysis

52

103

์šฐ๋ฆฌ์ƒํ™œ์—์„œ ํ†ต๊ณ„๋Š” ๋‹ค์–‘ํ•œ ๋ถ„์•ผ์—์„œ ๋งŽ์ด ์ด์šฉ๋˜๊ณ  ์žˆ๋‹ค. ํŠนํžˆ ๋Œ€๋Ÿ‰์˜ ์ œํ’ˆ์„ ์ƒ์‚ฐํ•˜๋Š” ๊ณณ์—์„œ๋Š” ํ†ต๊ณ„์˜ ์ด์šฉ์ด ํ•„์ˆ˜์ ์ผ

์ˆ˜ ๋ฐ–์— ์—†๋‹ค. ํ†ต๊ณ„๋ผ๋Š” ๊ฒƒ์€ ๋ถ€๋ถ„์ ์ธ ์ธก์ •๊ณผ ์กฐ์‚ฌ๋กœ ๊ด€์‹ฌ๋Œ€์ƒ์˜ ์ „์ฒด๋ฅผ ์ถ”์ •ํ•˜๋Š” ๊ฒƒ์ด๋‹ค. ์ด ์ถ”์ •์ด๋ผ๋Š” ๊ฒƒ์€ ์ ˆ๋Œ€์ ์ผ ์ˆ˜๊ฐ€ ์—†๋‹ค. ๋‹จ์ง€ ์ถ”์ •ํ•œ ๊ฒƒ์ด ๋งž์„ ๊ฒƒ์ด๋ผ๋Š” ๊ฐ€๋Šฅ์„ฑ์ด ์žˆ์„ ๋ฟ์ด๋‹ค.๊ทธ ๋ˆ„๊ตฌ๋„ 100%ํ™•์‹ ํ•˜๋Š”

์ถ”์ •์€ ๋ถˆ๊ฐ€๋Šฅํ•˜๋‹ค. ๊ทธ๋ ‡๋‹ค๋ฉด ํ†ต๊ณ„๋Š” ์ „๋ถ€ ๋ถˆํ™•์‹คํ•œ ๊ฒƒ๋ฟ์ธ๊ฐ€? ๊ทธ๋ ‡์ง€ ์•Š๋‹ค. ์ธก์ •์„ ํ•˜์ง€ ์•Š์€ ์ƒํƒœ์—์„œ ์ถ”์ธกํ•˜๋Š” ๊ฒƒ๋ณด๋‹ค

ํ›จ์”ฌ ์ •ํ™•ํ•œ ์˜ˆ์ธก์ด ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•ด์ฃผ๋ฏ€๋กœ ํ†ต๊ณ„๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š๋Š” ๊ฒฝ์šฐ๋ณด๋‹ค ์ •ํ™•ํ•˜๊ฒŒ ๊ฒฐ์ •์„ ๋‚ด๋ฆด ์ˆ˜ ์žˆ๋‹ค.

์˜ˆ๋ฅผ ๋“ค๋ฉด ์ด์ œ๊นŒ์ง€ ์šฐ๋ฆฌ๋Š” ์–ด๋–ค ์ œํ’ˆ์ด๋‚˜ ๋ถ€ํ’ˆ์„ ์ƒ์‚ฐํ•จ์— ์žˆ์–ด์„œ ๊ทธ ์ œํ’ˆ์ด ๋ถˆ๋Ÿ‰์ด๋ƒ ์•„๋‹ˆ๋ƒ๋ฅผ ํŒ๋‹จ ํ•˜๋Š”๋ฐ ๋Œ€๋žต 5๊ฐœ ์ •๋„์˜

Sample์„ ๊ฐ€์ง€๊ณ  ํŒ์ •์„ ํ–ˆ๋‹ค. ๋‹ค์Œ์˜ ๊ฒฝ์šฐ๋ฅผ ๋ณด์ž.์–ด๋–ค ์ œํ’ˆ์— ๋“ค์–ด๊ฐ€๋Š” ๋ถ€ํ’ˆ์˜ ์น˜์ˆ˜๊ฐ€ 12ยฑ0.05 ์ด๋ผ๊ณ  ํ•  ๋•Œ ๋‹น์ผ ์ƒ์‚ฐํ•œ 1,000๊ฐœ์˜ ๋ถ€ํ’ˆ ์ค‘ 5๊ฐœ์˜ ๋ถ€ํ’ˆ์„ ๋ฐœ์ทŒํ•˜์—ฌ ์ธก์ •ํ•œ

๊ฒฐ๊ณผ๊ฐ€ ์•„๋ž˜์™€ ๊ฐ™์„ ๋•Œ ๊ณผ์—ฐ ๋ถ€ํ’ˆ์˜ ์ˆ˜์ค€์ด ์–‘ํ˜ธํ•˜๋‹ค๊ณ  ํ•  ์ˆ˜ ์žˆ๋Š”๊ฐ€? ๋ถˆ๋Ÿ‰์€ ๋ช‡ %?

์ธก์ •๊ฒฐ๊ณผ data:11.960 , 11.955 , 11.965 , 11.980 , 11.950

์šฐ๋ฆฌ์ƒํ™œ์—์„œ ํ†ต๊ณ„๋Š” ๋‹ค์–‘ํ•œ ๋ถ„์•ผ์—์„œ ๋งŽ์ด ์ด์šฉ๋˜๊ณ  ์žˆ๋‹ค. ํŠนํžˆ ๋Œ€๋Ÿ‰์˜ ์ œํ’ˆ์„ ์ƒ์‚ฐํ•˜๋Š” ๊ณณ์—์„œ๋Š” ํ†ต๊ณ„์˜ ์ด์šฉ์ด ํ•„์ˆ˜์ ์ผ

์ˆ˜ ๋ฐ–์— ์—†๋‹ค. ํ†ต๊ณ„๋ผ๋Š” ๊ฒƒ์€ ๋ถ€๋ถ„์ ์ธ ์ธก์ •๊ณผ ์กฐ์‚ฌ๋กœ ๊ด€์‹ฌ๋Œ€์ƒ์˜ ์ „์ฒด๋ฅผ ์ถ”์ •ํ•˜๋Š” ๊ฒƒ์ด๋‹ค. ์ด ์ถ”์ •์ด๋ผ๋Š” ๊ฒƒ์€ ์ ˆ๋Œ€์ ์ผ ์ˆ˜๊ฐ€ ์—†๋‹ค. ๋‹จ์ง€ ์ถ”์ •ํ•œ ๊ฒƒ์ด ๋งž์„ ๊ฒƒ์ด๋ผ๋Š” ๊ฐ€๋Šฅ์„ฑ์ด ์žˆ์„ ๋ฟ์ด๋‹ค.๊ทธ ๋ˆ„๊ตฌ๋„ 100%ํ™•์‹ ํ•˜๋Š”

์ถ”์ •์€ ๋ถˆ๊ฐ€๋Šฅํ•˜๋‹ค. ๊ทธ๋ ‡๋‹ค๋ฉด ํ†ต๊ณ„๋Š” ์ „๋ถ€ ๋ถˆํ™•์‹คํ•œ ๊ฒƒ๋ฟ์ธ๊ฐ€? ๊ทธ๋ ‡์ง€ ์•Š๋‹ค. ์ธก์ •์„ ํ•˜์ง€ ์•Š์€ ์ƒํƒœ์—์„œ ์ถ”์ธกํ•˜๋Š” ๊ฒƒ๋ณด๋‹ค

ํ›จ์”ฌ ์ •ํ™•ํ•œ ์˜ˆ์ธก์ด ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•ด์ฃผ๋ฏ€๋กœ ํ†ต๊ณ„๋ฅผ ์‚ฌ์šฉํ•˜์ง€ ์•Š๋Š” ๊ฒฝ์šฐ๋ณด๋‹ค ์ •ํ™•ํ•˜๊ฒŒ ๊ฒฐ์ •์„ ๋‚ด๋ฆด ์ˆ˜ ์žˆ๋‹ค.

์˜ˆ๋ฅผ ๋“ค๋ฉด ์ด์ œ๊นŒ์ง€ ์šฐ๋ฆฌ๋Š” ์–ด๋–ค ์ œํ’ˆ์ด๋‚˜ ๋ถ€ํ’ˆ์„ ์ƒ์‚ฐํ•จ์— ์žˆ์–ด์„œ ๊ทธ ์ œํ’ˆ์ด ๋ถˆ๋Ÿ‰์ด๋ƒ ์•„๋‹ˆ๋ƒ๋ฅผ ํŒ๋‹จ ํ•˜๋Š”๋ฐ ๋Œ€๋žต 5๊ฐœ ์ •๋„์˜

Sample์„ ๊ฐ€์ง€๊ณ  ํŒ์ •์„ ํ–ˆ๋‹ค. ๋‹ค์Œ์˜ ๊ฒฝ์šฐ๋ฅผ ๋ณด์ž.์–ด๋–ค ์ œํ’ˆ์— ๋“ค์–ด๊ฐ€๋Š” ๋ถ€ํ’ˆ์˜ ์น˜์ˆ˜๊ฐ€ 12ยฑ0.05 ์ด๋ผ๊ณ  ํ•  ๋•Œ ๋‹น์ผ ์ƒ์‚ฐํ•œ 1,000๊ฐœ์˜ ๋ถ€ํ’ˆ ์ค‘ 5๊ฐœ์˜ ๋ถ€ํ’ˆ์„ ๋ฐœ์ทŒํ•˜์—ฌ ์ธก์ •ํ•œ

๊ฒฐ๊ณผ๊ฐ€ ์•„๋ž˜์™€ ๊ฐ™์„ ๋•Œ ๊ณผ์—ฐ ๋ถ€ํ’ˆ์˜ ์ˆ˜์ค€์ด ์–‘ํ˜ธํ•˜๋‹ค๊ณ  ํ•  ์ˆ˜ ์žˆ๋Š”๊ฐ€? ๋ถˆ๋Ÿ‰์€ ๋ช‡ %?

์ธก์ •๊ฒฐ๊ณผ data:11.960 , 11.955 , 11.965 , 11.980 , 11.950

0

5๊ฐœ๋ชจ๋‘๊ทœ๊ฒฉ์•ˆ์—๋“ค์–ด๊ฐ€๋ฏ€๋กœ๋ฌธ์ œ์—†์–ด์š”!

5๊ฐœ์˜ Sample๋กœ๊ณผ์—ฐ๊ทธ๋ ‡๊ฒŒ

ํ™•์‹ ํ• ์ˆ˜์žˆ์„๊นŒ?

ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ

104

5๊ฐœ์˜ Sample์€ ๊ทœ๊ฒฉ ์•ˆ์— ์žˆ์œผ๋ฏ€๋กœ ๋ฌธ์ œ๊ฐ€ ์—†์ง€๋งŒ ๋‚˜๋จธ์ง€ 995๊ฐœ์˜ ์ œํ’ˆ์— ๋Œ€ํ•ด์„œ๋Š” ๊ณผ์—ฐ ์–‘ํ˜ธํ•˜๋‹ค๊ณ  ๋งํ•  ์ˆ˜ ์—†๋‹ค. โ€๋‚˜๋จธ์ง€๋Š” ์ธก์ •์„ ํ•ด๋ด์•ผ ์•ˆ๋‹คโ€๋Š” ์‹์œผ๋กœ ํ•˜๋ฉด ์•„๋ฌด๋Ÿฐ ๊ฒ€์‚ฌ์˜ ์˜๋ฏธ๊ฐ€ ์—†์„ ๊ฒƒ์ด๋‹ค. ๋ถ€๋ถ„์ ์ธ ์ธก์ •์˜ ๊ฒฐ๊ณผ๋ฅผ ๊ฐ€์ง€๊ณ  ์•Œ๊ณ ์ž ํ•˜๋Š”

์ „์ฒด๋ฅผ ์ถ”์ •ํ•  ์ˆ˜ ์žˆ๊ฒŒ ํ•ด์ฃผ๋Š” ๊ฒƒ์ด ํ†ต๊ณ„์ด๋‹ค.์œ„์˜ ์˜ˆ์—์„œ ์ธก์ •ํ•œ ๋ฐ์ดํ„ฐ์˜ ๊ฒฐ๊ณผ๋ฅผ ๋ณด๋ฉด ํ‰๊ท :11.962 ํ‘œ์ค€ํŽธ์ฐจ:0.012 ์ด๋‹ค.์ด ์ž๋ฃŒ๋ฅผ ์ด์šฉํ•˜์—ฌ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ž˜ํ”„๋ฅผ ๊ทธ๋ ค๋ณด๋ฉด

์•„๋ž˜์™€ ๊ฐ™๋‹ค. ๊ทœ๊ฒฉ์„ ๋ฒ—์–ด๋‚˜๋Š” ๋ถˆ๋Ÿ‰์€ 13.5%๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค.๋ฌผ๋ก  ์ด๊ฒƒ์€ 5๊ฐœ์˜ ์ธก์ • ๋ฐ์ดํ„ฐ์˜ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋กœ ํ•œ ๊ฒฝ์šฐ์ด๋‹ค.Sample์˜ ๊ฐฏ ์ˆ˜๊ฐ€ ๋งŽ์•„์ง€๋ฉด ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ ๊ฐ’์ด ๋ฐ”๋€” ์ˆ˜๋„ ์žˆ๋‹ค. ์œ„์˜ ๊ฒฐ๊ณผ๋กœ๋ถ€ํ„ฐ ํ†ต๊ณ„์ ์ธ ์ถ”์ •์œผ๋กœ์„œ 13.53%์˜ ๋ถˆ๋Ÿ‰์ด

๋ฐœ์ƒํ•  ์ˆ˜ ์žˆ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ์™œ ์ด๋Ÿฐ ๊ฒฐ๊ณผ๊ฐ€ ๋ฐœ์ƒํ•˜๋Š”๊ฐ€? ๋ฌธ์ œ๋Š” ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๊ฐ€ ๊ทœ๊ฒฉ ์•ˆ์— ๋“ค์–ด๊ฐ€๋Š”๊ฐ€ ์•„๋‹Œ๊ฐ€๊ฐ€ ๋ฌธ์ œ๊ฐ€ ์•„๋‹ˆ๋ผ

์ „์ฒด์˜ ์‚ฐํฌ๊ฐ€ ์–ด๋–ป๊ฒŒ ๋˜๋Š๋ƒ๊ฐ€ ์ค‘์š”ํ•˜๋‹ค.์œ„์˜ ์˜ˆ์ œ์˜ ๊ฒฝ์šฐ๋Š” ์ธก์ •ํ•œ sample์˜ ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๋Š” ๊ทœ๊ฒฉ์„ ๋งŒ์กฑํ•˜๊ณ  ์žˆ์œผ๋‚˜

ํ‰๊ท ๊ฐ’์ด ๊ทœ๊ฒฉ์˜ ์ค‘์‹ฌ์—์„œ ๋ฒ—์–ด๋‚˜ ์žˆ๊ณ  ๊ทธ ์‚ฐํฌ๊ฐ€ ๋„ˆ๋ฌด ์ปค์„œ ์ธก์ • Sample ์ˆ˜๋ฅผ ๋งŽ์ด ํ–ˆ์„ ๋•Œ 13.53%๊ฐ€ ๋ถˆ๋Ÿ‰์œผ๋กœ ๋  ๊ฐ€๋Šฅ์„ฑ์ด

์žˆ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ์•Œ๊ณ ์ž ํ•˜๋Š” ๋Œ€์ƒ์˜ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋ฅผ ์ •ํ™•ํ•˜๊ฒŒ ์ถ”์ •ํ•  ์ˆ˜ ์žˆ๋‹ค๋ฉด ์ „์ฒด๋ฅผ ์˜ˆ์ธกํ•  ์ˆ˜ ์žˆ๋‹ค.

5๊ฐœ์˜ Sample์€ ๊ทœ๊ฒฉ ์•ˆ์— ์žˆ์œผ๋ฏ€๋กœ ๋ฌธ์ œ๊ฐ€ ์—†์ง€๋งŒ ๋‚˜๋จธ์ง€ 995๊ฐœ์˜ ์ œํ’ˆ์— ๋Œ€ํ•ด์„œ๋Š” ๊ณผ์—ฐ ์–‘ํ˜ธํ•˜๋‹ค๊ณ  ๋งํ•  ์ˆ˜ ์—†๋‹ค. โ€๋‚˜๋จธ์ง€๋Š” ์ธก์ •์„ ํ•ด๋ด์•ผ ์•ˆ๋‹คโ€๋Š” ์‹์œผ๋กœ ํ•˜๋ฉด ์•„๋ฌด๋Ÿฐ ๊ฒ€์‚ฌ์˜ ์˜๋ฏธ๊ฐ€ ์—†์„ ๊ฒƒ์ด๋‹ค. ๋ถ€๋ถ„์ ์ธ ์ธก์ •์˜ ๊ฒฐ๊ณผ๋ฅผ ๊ฐ€์ง€๊ณ  ์•Œ๊ณ ์ž ํ•˜๋Š”

์ „์ฒด๋ฅผ ์ถ”์ •ํ•  ์ˆ˜ ์žˆ๊ฒŒ ํ•ด์ฃผ๋Š” ๊ฒƒ์ด ํ†ต๊ณ„์ด๋‹ค.์œ„์˜ ์˜ˆ์—์„œ ์ธก์ •ํ•œ ๋ฐ์ดํ„ฐ์˜ ๊ฒฐ๊ณผ๋ฅผ ๋ณด๋ฉด ํ‰๊ท :11.962 ํ‘œ์ค€ํŽธ์ฐจ:0.012 ์ด๋‹ค.์ด ์ž๋ฃŒ๋ฅผ ์ด์šฉํ•˜์—ฌ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ž˜ํ”„๋ฅผ ๊ทธ๋ ค๋ณด๋ฉด

์•„๋ž˜์™€ ๊ฐ™๋‹ค. ๊ทœ๊ฒฉ์„ ๋ฒ—์–ด๋‚˜๋Š” ๋ถˆ๋Ÿ‰์€ 13.5%๋กœ ๋‚˜ํƒ€๋‚œ๋‹ค.๋ฌผ๋ก  ์ด๊ฒƒ์€ 5๊ฐœ์˜ ์ธก์ • ๋ฐ์ดํ„ฐ์˜ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋กœ ํ•œ ๊ฒฝ์šฐ์ด๋‹ค.Sample์˜ ๊ฐฏ ์ˆ˜๊ฐ€ ๋งŽ์•„์ง€๋ฉด ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ ๊ฐ’์ด ๋ฐ”๋€” ์ˆ˜๋„ ์žˆ๋‹ค. ์œ„์˜ ๊ฒฐ๊ณผ๋กœ๋ถ€ํ„ฐ ํ†ต๊ณ„์ ์ธ ์ถ”์ •์œผ๋กœ์„œ 13.53%์˜ ๋ถˆ๋Ÿ‰์ด

๋ฐœ์ƒํ•  ์ˆ˜ ์žˆ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ์™œ ์ด๋Ÿฐ ๊ฒฐ๊ณผ๊ฐ€ ๋ฐœ์ƒํ•˜๋Š”๊ฐ€? ๋ฌธ์ œ๋Š” ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๊ฐ€ ๊ทœ๊ฒฉ ์•ˆ์— ๋“ค์–ด๊ฐ€๋Š”๊ฐ€ ์•„๋‹Œ๊ฐ€๊ฐ€ ๋ฌธ์ œ๊ฐ€ ์•„๋‹ˆ๋ผ

์ „์ฒด์˜ ์‚ฐํฌ๊ฐ€ ์–ด๋–ป๊ฒŒ ๋˜๋Š๋ƒ๊ฐ€ ์ค‘์š”ํ•˜๋‹ค.์œ„์˜ ์˜ˆ์ œ์˜ ๊ฒฝ์šฐ๋Š” ์ธก์ •ํ•œ sample์˜ ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๋Š” ๊ทœ๊ฒฉ์„ ๋งŒ์กฑํ•˜๊ณ  ์žˆ์œผ๋‚˜

ํ‰๊ท ๊ฐ’์ด ๊ทœ๊ฒฉ์˜ ์ค‘์‹ฌ์—์„œ ๋ฒ—์–ด๋‚˜ ์žˆ๊ณ  ๊ทธ ์‚ฐํฌ๊ฐ€ ๋„ˆ๋ฌด ์ปค์„œ ์ธก์ • Sample ์ˆ˜๋ฅผ ๋งŽ์ด ํ–ˆ์„ ๋•Œ 13.53%๊ฐ€ ๋ถˆ๋Ÿ‰์œผ๋กœ ๋  ๊ฐ€๋Šฅ์„ฑ์ด

์žˆ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ์•Œ๊ณ ์ž ํ•˜๋Š” ๋Œ€์ƒ์˜ ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋ฅผ ์ •ํ™•ํ•˜๊ฒŒ ์ถ”์ •ํ•  ์ˆ˜ ์žˆ๋‹ค๋ฉด ์ „์ฒด๋ฅผ ์˜ˆ์ธกํ•  ์ˆ˜ ์žˆ๋‹ค.

53

105

์–ด๋–ค ๋ชฉ์ ์„ฑ์„ ๊ฐ–๊ณ  ์ œ์ž‘๋˜๊ฑฐ๋‚˜ ํ˜•์„ฑ๋œ ๋ฐ์ดํ„ฐ์˜ ์ง‘๋‹จ์€ ๊ทธ ํŠน์„ฑ์„ ๊ฐ–๊ฒŒ ๋˜๋Š”๋ฐ ๊ทธ ์ง‘๋‹จ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฐ’์ด ํ‰๊ท ๊ฐ’๊ณผ

ํ‘œ์ค€ํŽธ์ฐจ ์ด๋‹ค.์—ฌ๊ธฐ์„œ ์ด์•ผ๊ธฐํ•˜๋Š” ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋Š” ํ‘œ๋ณธ(Sample)์˜ ๊ทธ๊ฒƒ์ด๋‹ค.ํ‰๊ท ์€ ๊ทธ ์ง‘๋‹จ์˜ ํ˜„์žฌ ์œ„์น˜๋ฅผ ๋งํ•ด์ฃผ๋Š” ์ง€ํ‘œ์ด๋‹ค.๊ทธ๋Ÿฐ๋ฐ ํ‰๊ท ์€ ๋™์ผํ•˜๋”๋ผ๋„ ๋‹ค๋ฅธ ์—ฌ๋Ÿฌ ๊ฐœ์˜ ์ง‘๋‹จ์ด ์žˆ์„ ์ˆ˜ ์žˆ๋‹ค.

์ฆ‰ ์•„๋ž˜์˜ ๊ทธ๋ฆผ์—์„œ ์–‘์ชฝ ๋ชจ๋‘ ํ‰๊ท ์€ 5.0์ด์ง€๋งŒ ์™ผ์ชฝ์˜ ๊ฒฝ์šฐ ํ‘œ์ค€ํŽธ์ฐจ๋Š” 0.05์ด๊ณ  ์˜ค๋ฅธ์ชฝ์€ ํ‘œ์ค€ํŽธ์ฐจ๊ฐ€ 1.0์ด๋‹ค.์œ„์™€ ๊ฐ™์ด ํ‰๊ท ์€ ๋™์ผํ•˜๋”๋ผ๋„ ํ‘œ์ค€ํŽธ์ฐจ์— ๋”ฐ๋ผ์„œ ๊ทธ ์ง‘๋‹จ์˜ ํŠน์„ฑ์ด ๋งค์šฐ ๋‹ค๋ฅด๊ฒŒ ๋จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.

ํ‘œ์ค€ํŽธ์ฐจ๋Š” ๊ทธ ์ง‘๋‹จ์˜ ๋ฐ์ดํƒ€์˜ ๋ถ„ํฌ๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ํŠน์„ฑ์œผ๋กœ์„œ ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๊ฐ€ ํ‰๊ท ๊ฐ’์œผ๋กœ๋ถ€ํ„ฐ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ๋ถ„ํฌํ•˜๊ณ 

์žˆ๋Š”๊ฐ€ (์‚ฐํฌ์˜ ์ •๋„)๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๋งค์šฐ ์ค‘์š”ํ•œ ๊ฐ’์ด๋‹ค.

์–ด๋–ค ๋ชฉ์ ์„ฑ์„ ๊ฐ–๊ณ  ์ œ์ž‘๋˜๊ฑฐ๋‚˜ ํ˜•์„ฑ๋œ ๋ฐ์ดํ„ฐ์˜ ์ง‘๋‹จ์€ ๊ทธ ํŠน์„ฑ์„ ๊ฐ–๊ฒŒ ๋˜๋Š”๋ฐ ๊ทธ ์ง‘๋‹จ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฐ’์ด ํ‰๊ท ๊ฐ’๊ณผ

ํ‘œ์ค€ํŽธ์ฐจ ์ด๋‹ค.์—ฌ๊ธฐ์„œ ์ด์•ผ๊ธฐํ•˜๋Š” ํ‰๊ท ๊ณผ ํ‘œ์ค€ํŽธ์ฐจ๋Š” ํ‘œ๋ณธ(Sample)์˜ ๊ทธ๊ฒƒ์ด๋‹ค.ํ‰๊ท ์€ ๊ทธ ์ง‘๋‹จ์˜ ํ˜„์žฌ ์œ„์น˜๋ฅผ ๋งํ•ด์ฃผ๋Š” ์ง€ํ‘œ์ด๋‹ค.๊ทธ๋Ÿฐ๋ฐ ํ‰๊ท ์€ ๋™์ผํ•˜๋”๋ผ๋„ ๋‹ค๋ฅธ ์—ฌ๋Ÿฌ ๊ฐœ์˜ ์ง‘๋‹จ์ด ์žˆ์„ ์ˆ˜ ์žˆ๋‹ค.

์ฆ‰ ์•„๋ž˜์˜ ๊ทธ๋ฆผ์—์„œ ์–‘์ชฝ ๋ชจ๋‘ ํ‰๊ท ์€ 5.0์ด์ง€๋งŒ ์™ผ์ชฝ์˜ ๊ฒฝ์šฐ ํ‘œ์ค€ํŽธ์ฐจ๋Š” 0.05์ด๊ณ  ์˜ค๋ฅธ์ชฝ์€ ํ‘œ์ค€ํŽธ์ฐจ๊ฐ€ 1.0์ด๋‹ค.์œ„์™€ ๊ฐ™์ด ํ‰๊ท ์€ ๋™์ผํ•˜๋”๋ผ๋„ ํ‘œ์ค€ํŽธ์ฐจ์— ๋”ฐ๋ผ์„œ ๊ทธ ์ง‘๋‹จ์˜ ํŠน์„ฑ์ด ๋งค์šฐ ๋‹ค๋ฅด๊ฒŒ ๋จ์„ ์•Œ ์ˆ˜ ์žˆ๋‹ค.

ํ‘œ์ค€ํŽธ์ฐจ๋Š” ๊ทธ ์ง‘๋‹จ์˜ ๋ฐ์ดํƒ€์˜ ๋ถ„ํฌ๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ํŠน์„ฑ์œผ๋กœ์„œ ๊ฐœ ๊ฐœ์˜ ๋ฐ์ดํ„ฐ๊ฐ€ ํ‰๊ท ๊ฐ’์œผ๋กœ๋ถ€ํ„ฐ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ๋ถ„ํฌํ•˜๊ณ 

์žˆ๋Š”๊ฐ€ (์‚ฐํฌ์˜ ์ •๋„)๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๋งค์šฐ ์ค‘์š”ํ•œ ๊ฐ’์ด๋‹ค.

2 01 51 05

7

6

5

4

3

2 01 51 05

ํ‰๊ท (ฮผa):5.0ํ‘œ์ค€ํŽธ์ฐจ(ฯƒa) :0.05

ํ‰๊ท  (ฮผb):5.0ํ‘œ์ค€ํŽธ์ฐจ(ฯƒb):1.0

A B

106

์œ„์˜ ๋ฐ์ดํ„ฐ๋Š” ์•„๋ž˜์˜ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ž˜ํ”„ ํ˜•ํƒœ๋กœ ํ‘œ์‹œ๋œ๋‹ค. A์˜ ๊ฒฝ์šฐ๋Š” ์‚ฐํฌ๊ฐ€ ์ ์–ด ๋ฐ์ดํ„ฐ๊ฐ€ ํ‰๊ท ๊ฐ’ ๋ถ€๊ทผ์— ๋ถ„ํฌํ•˜๊ณ  B์˜

๊ฒฝ์šฐ ํ‰๊ท ๊ฐ’์€ A์™€ ๊ฐ™์œผ๋‚˜ ์‚ฐํฌ๊ฐ€ ์ปค์„œ ๋ฐ์ดํ„ฐ๊ฐ€ 3์—์„œ 7์ด์ƒ

๊นŒ์ง€ ๋ถ„ํฌ๋˜๊ณ  ์žˆ์Œ์„ ๋ณผ ์ˆ˜ ์žˆ๋‹ค.์—ฌ๊ธฐ์„œ ์•ž์˜ ๊ทธ๋ฆผ์—์„œ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด ๋‚˜ํƒ€๋‚˜๊ณ  ์žˆ์ง€

์•Š์œผ๋‚˜ ์•„๋ž˜ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ฆผ์—์„œ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๋ฐ์ดํ„ฐ๊ฐ€

์กด์žฌํ•˜๋Š” ๊ฒƒ์€ ์•ž์˜ ๊ทธ๋ฆผ์€ ๋ช‡ ๊ฐœ์˜ ํ‘œ๋ณธ๋งŒ์„ ๊ฐ€์ง€๊ณ  ๋‚˜ํƒ€๋‚ธ

๊ทธ๋ฆผ์ด๊ณ  ์•„๋ž˜์˜ ๊ฒฝ์šฐ๋Š” ํ‘œ๋ณธ์˜ ๊ฐฏ ์ˆ˜๊ฐ€ ๋ฌดํ•œํžˆ ๋งŽ์„ ๊ฒฝ์šฐ๋ฅผ

๋‚˜ํƒ€๋‚ธ ๊ฒƒ์ด๋‹ค. ํ‘œ๋ณธ์ด ์ ์„ ๊ฒฝ์šฐ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด ๋‚˜ํƒ€

๋‚˜์ง€ ์•Š์„ ์ˆ˜ ์žˆ์œผ๋‚˜ ํ‘œ๋ณธ์˜ ์ˆ˜๋ฅผ ๋Š˜๋ฆฌ๋ฉด 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด

๋‚˜์˜ฌ ์ˆ˜ ์žˆ์Œ์„ ๋ณด์—ฌ์ฃผ๊ณ  ์žˆ๋‹ค.

์œ„์˜ ๋ฐ์ดํ„ฐ๋Š” ์•„๋ž˜์˜ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ž˜ํ”„ ํ˜•ํƒœ๋กœ ํ‘œ์‹œ๋œ๋‹ค. A์˜ ๊ฒฝ์šฐ๋Š” ์‚ฐํฌ๊ฐ€ ์ ์–ด ๋ฐ์ดํ„ฐ๊ฐ€ ํ‰๊ท ๊ฐ’ ๋ถ€๊ทผ์— ๋ถ„ํฌํ•˜๊ณ  B์˜

๊ฒฝ์šฐ ํ‰๊ท ๊ฐ’์€ A์™€ ๊ฐ™์œผ๋‚˜ ์‚ฐํฌ๊ฐ€ ์ปค์„œ ๋ฐ์ดํ„ฐ๊ฐ€ 3์—์„œ 7์ด์ƒ

๊นŒ์ง€ ๋ถ„ํฌ๋˜๊ณ  ์žˆ์Œ์„ ๋ณผ ์ˆ˜ ์žˆ๋‹ค.์—ฌ๊ธฐ์„œ ์•ž์˜ ๊ทธ๋ฆผ์—์„œ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด ๋‚˜ํƒ€๋‚˜๊ณ  ์žˆ์ง€

์•Š์œผ๋‚˜ ์•„๋ž˜ ์ •๊ทœ๋ถ„ํฌ ๊ทธ๋ฆผ์—์„œ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๋ฐ์ดํ„ฐ๊ฐ€

์กด์žฌํ•˜๋Š” ๊ฒƒ์€ ์•ž์˜ ๊ทธ๋ฆผ์€ ๋ช‡ ๊ฐœ์˜ ํ‘œ๋ณธ๋งŒ์„ ๊ฐ€์ง€๊ณ  ๋‚˜ํƒ€๋‚ธ

๊ทธ๋ฆผ์ด๊ณ  ์•„๋ž˜์˜ ๊ฒฝ์šฐ๋Š” ํ‘œ๋ณธ์˜ ๊ฐฏ ์ˆ˜๊ฐ€ ๋ฌดํ•œํžˆ ๋งŽ์„ ๊ฒฝ์šฐ๋ฅผ

๋‚˜ํƒ€๋‚ธ ๊ฒƒ์ด๋‹ค. ํ‘œ๋ณธ์ด ์ ์„ ๊ฒฝ์šฐ๋Š” 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด ๋‚˜ํƒ€

๋‚˜์ง€ ์•Š์„ ์ˆ˜ ์žˆ์œผ๋‚˜ ํ‘œ๋ณธ์˜ ์ˆ˜๋ฅผ ๋Š˜๋ฆฌ๋ฉด 3์ดํ•˜ 7์ด์ƒ์˜ ๊ฐ’์ด

๋‚˜์˜ฌ ์ˆ˜ ์žˆ์Œ์„ ๋ณด์—ฌ์ฃผ๊ณ  ์žˆ๋‹ค.

76543ํ‰๊ท : ฮผa=ฮผb

ํ‘œ์ค€ํŽธ์ฐจฯƒb:1.0

ํ‘œ์ค€ํŽธ์ฐจฯƒa:0.05

์ •๋ฐ€ํ•˜๋‚˜ ์ •ํ™•ํ•˜์ง€ ๋ชปํ•จ : ํ‰๊ท ์กฐ์ • ๋ฌธ์ œ์ •๋ฐ€ํ•˜๋‚˜ ์ •ํ™•ํ•˜์ง€ ๋ชปํ•จ : ํ‰๊ท ์กฐ์ • ๋ฌธ์ œ

์ •ํ™•ํ•˜๋‚˜ ์ •๋ฐ€ํ•˜์ง€ ๋ชปํ•จ : ์‚ฐํฌ ์กฐ์ • ๋ฌธ์ œ์ •ํ™•ํ•˜๋‚˜ ์ •๋ฐ€ํ•˜์ง€ ๋ชปํ•จ : ์‚ฐํฌ ์กฐ์ • ๋ฌธ์ œ

๋ฐ์ดํƒ€์˜ ์ธก์ • ๊ฒฐ๊ณผ๋Š” ๋‹ค์Œ๊ณผ ๊ฐ™์€ ๋‘๊ฐ€์ง€์˜ ๋ฌธ์ œ๋กœ

๊ท€์ฐฉ๋œ๋‹ค.์ตœ์•…์˜ ๊ฒฝ์šฐ ๋‘๊ฐ€์ง€๊ฐ€ ๋ณตํ•ฉ๋˜์–ด ๋‚˜ํƒ€๋‚˜๋Š” ๊ฒฝ์šฐ

(ํ‰๊ท ์กฐ์ • ๋ฐ ์‚ฐํฌ์กฐ์ •)๋„ ์žˆ๋‹ค.

๋ฐ์ดํƒ€์˜ ์ธก์ • ๊ฒฐ๊ณผ๋Š” ๋‹ค์Œ๊ณผ ๊ฐ™์€ ๋‘๊ฐ€์ง€์˜ ๋ฌธ์ œ๋กœ

๊ท€์ฐฉ๋œ๋‹ค.์ตœ์•…์˜ ๊ฒฝ์šฐ ๋‘๊ฐ€์ง€๊ฐ€ ๋ณตํ•ฉ๋˜์–ด ๋‚˜ํƒ€๋‚˜๋Š” ๊ฒฝ์šฐ

(ํ‰๊ท ์กฐ์ • ๋ฐ ์‚ฐํฌ์กฐ์ •)๋„ ์žˆ๋‹ค.

54

107

ํ‘œ์ค€ํŽธ์ฐจ์˜ ์ˆ˜ํ•™์  ํ‘œํ˜„ํ‘œ์ค€ํŽธ์ฐจ์˜ ์ˆ˜ํ•™์  ํ‘œํ˜„๋”ฐ๋ผ์„œ ๊ฐ ํŽธ์ฐจ๋ฅผ ์ œ๊ณฑํ•˜์—ฌ ํ•ฉํ•œ ์ œ๊ณฑ ํ•ฉ(Sum of Square)์˜ ํ‰๋ฐฉ๊ทผ์„ ์‚ฌ์šฉํ•˜๋ฉด "0"์ด ์•„๋‹Œ ํŠน์„ฑ ๊ฐ’์„ ๊ตฌํ•  ์ˆ˜ ์žˆ๋‹ค.๊ฐœ ๊ฐœ์˜ ๊ฐ’์ด ํ‰๊ท ์—์„œ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ์žˆ๋‚˜๋ฅผ ๋‚˜ํƒ€๋‚ด๊ธฐ

์œ„ํ•ด์„œ๋Š” S๋ฅผ ์ง‘๋‹จ์˜ ํฌ๊ธฐ(N)๋กœ ๋‚˜๋ˆ„๋ฉด ๋œ๋‹ค.ํ‘œ๋ณธ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๊ธฐ ์œ„ํ•ด์„œ๋Š” ํ‘œ๋ณธํฌ๊ธฐ n์ด ์•„๋‹Œ

์ž์œ ๋„ n-1๋กœ ๋‚˜๋ˆˆ๋‹ค. ์ด๋•Œ ํ‘œ๋ณธ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๋Š” ์ด ๊ฐ’์„ ํ‘œ์ค€ํŽธ์ฐจ๋ผ๊ณ  ํ•œ๋‹ค.๋”ฐ๋ผ์„œ ํ‘œ์ค€ํŽธ์ฐจ๋Š” ์–ด๋–ค ์ง‘๋‹จ์—์„œ ์ž„์˜๋กœ ์–ด๋–ค ๋ฐ์ดํ„ฐ๋ฅผ

์ทจํ–ˆ์„ ๋•Œ ๊ทธ ๊ฐ’์ด ํ‰๊ท ๊ฐ’์—์„œ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ๋ถ„ํฌ ํ•  ๊ฒƒ

์ธ๊ฐ€๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ํŠน์„ฑ ์น˜๊ฐ€ ๋˜๋Š” ์‚ฐํฌ๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฐ’์ด๋‹ค

๋”ฐ๋ผ์„œ ๊ฐ ํŽธ์ฐจ๋ฅผ ์ œ๊ณฑํ•˜์—ฌ ํ•ฉํ•œ ์ œ๊ณฑ ํ•ฉ(Sum of Square)์˜ ํ‰๋ฐฉ๊ทผ์„ ์‚ฌ์šฉํ•˜๋ฉด "0"์ด ์•„๋‹Œ ํŠน์„ฑ ๊ฐ’์„ ๊ตฌํ•  ์ˆ˜ ์žˆ๋‹ค.๊ฐœ ๊ฐœ์˜ ๊ฐ’์ด ํ‰๊ท ์—์„œ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ์žˆ๋‚˜๋ฅผ ๋‚˜ํƒ€๋‚ด๊ธฐ

์œ„ํ•ด์„œ๋Š” S๋ฅผ ์ง‘๋‹จ์˜ ํฌ๊ธฐ(N)๋กœ ๋‚˜๋ˆ„๋ฉด ๋œ๋‹ค.ํ‘œ๋ณธ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๊ธฐ ์œ„ํ•ด์„œ๋Š” ํ‘œ๋ณธํฌ๊ธฐ n์ด ์•„๋‹Œ

์ž์œ ๋„ n-1๋กœ ๋‚˜๋ˆˆ๋‹ค. ์ด๋•Œ ํ‘œ๋ณธ์˜ ํŠน์„ฑ์„ ๋‚˜ํƒ€๋‚ด๋Š” ์ด ๊ฐ’์„ ํ‘œ์ค€ํŽธ์ฐจ๋ผ๊ณ  ํ•œ๋‹ค.๋”ฐ๋ผ์„œ ํ‘œ์ค€ํŽธ์ฐจ๋Š” ์–ด๋–ค ์ง‘๋‹จ์—์„œ ์ž„์˜๋กœ ์–ด๋–ค ๋ฐ์ดํ„ฐ๋ฅผ

์ทจํ–ˆ์„ ๋•Œ ๊ทธ ๊ฐ’์ด ํ‰๊ท ๊ฐ’์—์„œ ์–ผ๋งˆ๋‚˜ ๋–จ์–ด์ ธ ๋ถ„ํฌ ํ•  ๊ฒƒ

์ธ๊ฐ€๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ํŠน์„ฑ ์น˜๊ฐ€ ๋˜๋Š” ์‚ฐํฌ๋ฅผ ๋‚˜ํƒ€๋‚ด๋Š” ๊ฐ’์ด๋‹ค54321

7

6

5

4

3

ํ‰๊ท =

x1

x2

x3

x4

x5

ฮด1

ฮด2

ฮด3

ฮด4

ฮด5 X

ํŽธ์ฐจ(ฮดi)= Xi - X

ํ‰๊ท ์น˜์—์„œ ๊ฐ ์ธก์ •๊ฐ’์ด ๋–จ์–ด์ง„ ์ •๋„๋ฅผ ๋‚˜ํƒ€๋ƒ„

๊ฐœ๊ฐœ ํŽธ์ฐจ๋ฅผ ํ•ฉ์‚ฐํ•˜๋ฉดโ€0โ€์ด ๋จ(ํ‰๊ท ๋ณด๋‹ค ์ ์€ ๊ฐ’์— ๋Œ€ํ•œ

ํŽธ์ฐจ (ฮดI)๋Š” ์Œ์˜ ๊ฐ’์ด ๋˜๊ณ  ํฐ ๊ฐ’์€ ์–‘์˜ ๊ฐ’์ด ๋จ.)

(ฮฃ( Xi - )=ฮฃXi-ฮฃ=n Xi - n =0 (์ฆ‰, n Xi = n )

ํ‰๊ท ์น˜์—์„œ ๊ฐ ์ธก์ •๊ฐ’์ด ๋–จ์–ด์ง„ ์ •๋„๋ฅผ ๋‚˜ํƒ€๋ƒ„

๊ฐœ๊ฐœ ํŽธ์ฐจ๋ฅผ ํ•ฉ์‚ฐํ•˜๋ฉดโ€0โ€์ด ๋จ(ํ‰๊ท ๋ณด๋‹ค ์ ์€ ๊ฐ’์— ๋Œ€ํ•œ

ํŽธ์ฐจ (ฮดI)๋Š” ์Œ์˜ ๊ฐ’์ด ๋˜๊ณ  ํฐ ๊ฐ’์€ ์–‘์˜ ๊ฐ’์ด ๋จ.)

(ฮฃ( Xi - )=ฮฃXi-ฮฃ=n Xi - n =0 (์ฆ‰, n Xi = n )

X X

s = โˆšX(Xi - )2 /n-1

XX

ํ‘œ์ค€ํŽธ์ฐจ๋Š” ํ‘œ๋ณธ์˜ ํฌ๊ธฐ(๊ฐฏ ์ˆ˜)๊ฐ€ ํด์ˆ˜๋ก ์ •ํ™•ํ•œ ๊ฐ’์ด ๋˜๋ฉฐ

๊ทน๋‹จ์ ์œผ๋กœ ํฐ ๊ฒฝ์šฐ์—๋Š” ๋ชจ์ง‘๋‹จ์˜ ํ‘œ์ค€ํŽธ์ฐจ์™€ ๊ฐ™๊ฒŒ ๋œ๋‹ค.

ํ‘œ์ค€ํŽธ์ฐจ๋Š” ํ‘œ๋ณธ์˜ ํฌ๊ธฐ(๊ฐฏ ์ˆ˜)๊ฐ€ ํด์ˆ˜๋ก ์ •ํ™•ํ•œ ๊ฐ’์ด ๋˜๋ฉฐ

๊ทน๋‹จ์ ์œผ๋กœ ํฐ ๊ฒฝ์šฐ์—๋Š” ๋ชจ์ง‘๋‹จ์˜ ํ‘œ์ค€ํŽธ์ฐจ์™€ ๊ฐ™๊ฒŒ ๋œ๋‹ค.

108

ํšŒ๋กœ ์ •์ˆ˜ ์˜ค์ฐจ์— ๋Œ€ํ•œ Monte-Carlo ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•ด์„œ๋Š” ์‚ฌ์šฉ component์˜ tolerance์ •๋ณด๋ฅผ ์ž…๋ ฅํ•˜์—ฌ์•ผ ํ•จ.PSpice์˜ ๊ฒฝ์šฐ, ์‚ฌ์šฉ์ž์— ์˜ํ•ด component ํŠน์„ฑ ํŽธ์ง‘์ด ๊ฐ€๋Šฅํ•œ library๋ฅผ ๋ณ„๋„๋กœ ์ œ๊ณตํ•จ.( Breakout.lib)Breakout lib์—๋Š” ๊ฐ์ข… ์ˆ˜๋™์†Œ์ž์™€ ๋ฐ˜๋„์ฒด ์†Œ์ž์˜ ๋ชจ๋ธ์ด ์žˆ๊ณ  Tolerance๋ฅผ ์ž…๋ ฅํ•  ์ˆ˜ ์žˆ๋„๋ก Editํ•  ์ˆ˜ ์žˆ๋Š”

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์›ํ•œ๋‹ค.

ํšŒ๋กœ ์ •์ˆ˜ ์˜ค์ฐจ์— ๋Œ€ํ•œ Monte-Carlo ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์œ„ํ•ด์„œ๋Š” ์‚ฌ์šฉ component์˜ tolerance์ •๋ณด๋ฅผ ์ž…๋ ฅํ•˜์—ฌ์•ผ ํ•จ.PSpice์˜ ๊ฒฝ์šฐ, ์‚ฌ์šฉ์ž์— ์˜ํ•ด component ํŠน์„ฑ ํŽธ์ง‘์ด ๊ฐ€๋Šฅํ•œ library๋ฅผ ๋ณ„๋„๋กœ ์ œ๊ณตํ•จ.( Breakout.lib)Breakout lib์—๋Š” ๊ฐ์ข… ์ˆ˜๋™์†Œ์ž์™€ ๋ฐ˜๋„์ฒด ์†Œ์ž์˜ ๋ชจ๋ธ์ด ์žˆ๊ณ  Tolerance๋ฅผ ์ž…๋ ฅํ•  ์ˆ˜ ์žˆ๋„๋ก Editํ•  ์ˆ˜ ์žˆ๋Š”

๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์›ํ•œ๋‹ค.

R ์ €ํ•ญ์— ์‚ฌ์šฉ๋˜๋Š” ParameterR=1 : ์ €ํ•ญ์น˜ ๋น„๋ก€์ƒ์ˆ˜

DEV=5% : ์ €ํ•ญ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

R ์ €ํ•ญ์— ์‚ฌ์šฉ๋˜๋Š” ParameterR=1 : ์ €ํ•ญ์น˜ ๋น„๋ก€์ƒ์ˆ˜

DEV=5% : ์ €ํ•ญ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

))(2)(11( 2TnomTTCTnomTTCRvalue โˆ’+โˆ’+โ‹…โ‹…>=<์ €ํ•ญ์น˜

์—ฌ๊ธฐ์„œ, Tnom : ๊ธฐ์ค€์˜จ๋„ ( default:27โ€™C)ANALYSIS SETUP์˜ OPTION์—์„œ ํŽธ์ง‘๊ฐ€๋Šฅ

T : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์˜จ๋„ ( default:27โ€™C)ANALYSIS SETUP์˜TEMPERATURE์—์„œ ํŽธ์ง‘๊ฐ€๋Šฅ

์—ฌ๊ธฐ์„œ, Tnom : ๊ธฐ์ค€์˜จ๋„ ( default:27โ€™C)ANALYSIS SETUP์˜ OPTION์—์„œ ํŽธ์ง‘๊ฐ€๋Šฅ

T : ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์˜จ๋„ ( default:27โ€™C)ANALYSIS SETUP์˜TEMPERATURE์—์„œ ํŽธ์ง‘๊ฐ€๋Šฅ

Ex) resistor: Rbreakcapacitor: Cbreakinductor: LbreakDiode: Dbreak

Ex) resistor: Rbreakcapacitor: Cbreakinductor: LbreakDiode: Dbreak

์ €ํ•ญ R4์— ๋Œ€ํ•ด์„œ Monte Carlo ๋ถ„์„์„ ์‹คํ–‰ ํ•˜๊ธฐ ์œ„ํ•ด Tolerance๋ฅผ ๋ถ€์—ฌ ํ•œ๋‹ค. Editํ•˜๋Š” ์ˆœ์„œ

์•„๋ž˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด ํŽธ์ง‘ ํ•  ์†Œ์ž๋ฅผ ์„ ํƒ.(ํ™œ์„ฑํ™”) Capture Menu / Edit /PSpice Model ํด๋ฆญ 2๋ฒˆ ์‹คํ–‰ PSpice Model Editor๊ฐ€ Open ๋œ๋‹ค.

์ €ํ•ญ R4์— ๋Œ€ํ•ด์„œ Monte Carlo ๋ถ„์„์„ ์‹คํ–‰ ํ•˜๊ธฐ ์œ„ํ•ด Tolerance๋ฅผ ๋ถ€์—ฌ ํ•œ๋‹ค. Editํ•˜๋Š” ์ˆœ์„œ

์•„๋ž˜ ๊ทธ๋ฆผ๊ณผ ๊ฐ™์ด ํŽธ์ง‘ ํ•  ์†Œ์ž๋ฅผ ์„ ํƒ.(ํ™œ์„ฑํ™”) Capture Menu / Edit /PSpice Model ํด๋ฆญ 2๋ฒˆ ์‹คํ–‰ PSpice Model Editor๊ฐ€ Open ๋œ๋‹ค.

Monte Carlo Exam1

55

109

PSpice Model Editor ํŽธ์ง‘ ํ™˜๊ฒฝ ( ๋ถ€ํ’ˆ ์„ ํƒ / Edit / PSpice Model ์„ ํƒ ํ›„ ํŽธ์ง‘)PSpice Model Editor ํŽธ์ง‘ ํ™˜๊ฒฝ ( ๋ถ€ํ’ˆ ์„ ํƒ / Edit / PSpice Model ์„ ํƒ ํ›„ ํŽธ์ง‘)

์ด์™€ ๊ฐ™์ด ๋‹ค๋ฅธ ์†Œ์ž๋“ค๋„ ํŽธ์ง‘ ์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, Rbreak๋ž€ ์ด๋ฆ„์€ Properties Editor์—์„œ Implementation Name ์œผ๋กœ ์ •์˜ ๋˜์–ด

์žˆ๋‹ค. ์œ„ Model editorํ™˜๊ฒฝ์—์„œ Rbreak(Model name)์„ ์ž„์˜์˜ ์ด๋ฆ„์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ Captureํ™˜๊ฒฝ์—์„œ๋Š” ์ด ์ด๋ฆ„๋งŒ ๋ณ€๊ฒฝ ํ•  ๊ฒฝ์šฐ ์œ„์˜

๋ชจ๋ธ ์„ค์ • ๋‚ด์šฉ์„ ๋”ฐ๋ผ๊ฐ€๊ฒŒ ๋œ๋‹ค. ์ด ํŽธ์ง‘ ๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋Š” ํ˜„์ œ ์ž‘์—… ๋˜๊ณ  ์žˆ๋Š” ํ”„๋กœ์ ํŠธ์— ํ”„๋กœ์ ํŠธ๋ช….lib๋กœ ์ €์žฅ๋˜๋ฉฐ, Implementation name์ด ๋ถ€์—ฌ ๋œ

์ƒํƒœ์ด๋‹ค.

์ด์™€ ๊ฐ™์ด ๋‹ค๋ฅธ ์†Œ์ž๋“ค๋„ ํŽธ์ง‘ ์ด ๊ฐ€๋Šฅํ•˜๋ฉฐ, Rbreak๋ž€ ์ด๋ฆ„์€ Properties Editor์—์„œ Implementation Name ์œผ๋กœ ์ •์˜ ๋˜์–ด

์žˆ๋‹ค. ์œ„ Model editorํ™˜๊ฒฝ์—์„œ Rbreak(Model name)์„ ์ž„์˜์˜ ์ด๋ฆ„์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ Captureํ™˜๊ฒฝ์—์„œ๋Š” ์ด ์ด๋ฆ„๋งŒ ๋ณ€๊ฒฝ ํ•  ๊ฒฝ์šฐ ์œ„์˜

๋ชจ๋ธ ์„ค์ • ๋‚ด์šฉ์„ ๋”ฐ๋ผ๊ฐ€๊ฒŒ ๋œ๋‹ค. ์ด ํŽธ์ง‘ ๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋Š” ํ˜„์ œ ์ž‘์—… ๋˜๊ณ  ์žˆ๋Š” ํ”„๋กœ์ ํŠธ์— ํ”„๋กœ์ ํŠธ๋ช….lib๋กœ ์ €์žฅ๋˜๋ฉฐ, Implementation name์ด ๋ถ€์—ฌ ๋œ

์ƒํƒœ์ด๋‹ค.

Passive Component Modeling

110

)211())(2)(11( 22 VVCVVCTnomTTCTnomTTCCvalue โ‹…+โ‹…+โ‹…โˆ’+โˆ’+โ‹…โ‹…>=<์šฉ๋Ÿ‰์ฝ˜๋ด์„œ

))(2)(11)(211( 22 TnomTTCTnomTTCIILIILLvalueInductor โˆ’+โˆ’+โ‹…+โ‹…+โ‹…โ‹…>=<์šฉ๋Ÿ‰

Cbreak parameter VC1 : ์„ ํ˜• ์ „์•• ๊ณ„์ˆ˜

VC2 : 2์ฐจ ์ „์••๊ณ„์ˆ˜

DEV=5% : Conductance ์šฉ๋Ÿ‰ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

Cbreak parameter VC1 : ์„ ํ˜• ์ „์•• ๊ณ„์ˆ˜

VC2 : 2์ฐจ ์ „์••๊ณ„์ˆ˜

DEV=5% : Conductance ์šฉ๋Ÿ‰ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

Lbreak parameterDEV=5% : Inductance ์šฉ๋Ÿ‰ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

IL1 : ์„ ํ˜• ์ „๋ฅ˜ ๊ณ„์ˆ˜

IL2 : 2์ฐจ ์ „๋ฅ˜๊ณ„์ˆ˜

Lbreak parameterDEV=5% : Inductance ์šฉ๋Ÿ‰ ์˜ค์ฐจ

TC1=5e-4 : ์„ ํ˜• ์˜จ๋„๊ณ„์ˆ˜

TC2=0 : 2์ฐจ ์˜จ๋„๊ณ„์ˆ˜

IL1 : ์„ ํ˜• ์ „๋ฅ˜ ๊ณ„์ˆ˜

IL2 : 2์ฐจ ์ „๋ฅ˜๊ณ„์ˆ˜

Passive Device ParameterPassive Device Parameter

Capacitor Device ParameterCapacitor Device Parameter

Inductor Device ParameterInductor Device Parameter

56

111

Monte Carlo ํ•ด์„์—์„œ๋Š” ์‹ค์ œ ๋ฌผ๋ฆฌ์ ์ธ ๋ถ€ํ’ˆ๋“ค์ด ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ํ—ˆ์šฉ์˜ค์ฐจ๋กœ์„œ ๊ฐ€์ƒ์ ์œผ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜์—ฌ ํ—ˆ์šฉ์˜ค์ฐจ๋กœ ํ•˜์—ฌ๊ธˆ ์ถœ๋ ฅ์— ๋Œ€ํ•œ ํ†ต๊ณ„์ ์ธ ๋ฐ์ดํ„ฐ๋ฅผ ๊ตฌํ•  ์ˆ˜ ์žˆ๊ณ , ์‹ค์ œ ํ˜„์žฅ์—์„œ ์‚ฌ์šฉ๋  ๋•Œ ์–ด๋–ค ํŠน์„ฑ ๋ณ€ํ™”๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ์„๊ฒƒ์ธ๊ฐ€๋ฅผ ์˜ˆ์ธกํ•˜๋Š” ๋ถ„์„์ด๋‹ค.

Monte Carlo ํ•ด์„์—์„œ๋Š” ์‹ค์ œ ๋ฌผ๋ฆฌ์ ์ธ ๋ถ€ํ’ˆ๋“ค์ด ๊ฐ€์ง€๊ณ  ์žˆ๋Š” ํ—ˆ์šฉ์˜ค์ฐจ๋กœ์„œ ๊ฐ€์ƒ์ ์œผ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์„ ์‹คํ–‰ ํ•˜์—ฌ ํ—ˆ์šฉ์˜ค์ฐจ๋กœ ํ•˜์—ฌ๊ธˆ ์ถœ๋ ฅ์— ๋Œ€ํ•œ ํ†ต๊ณ„์ ์ธ ๋ฐ์ดํ„ฐ๋ฅผ ๊ตฌํ•  ์ˆ˜ ์žˆ๊ณ , ์‹ค์ œ ํ˜„์žฅ์—์„œ ์‚ฌ์šฉ๋  ๋•Œ ์–ด๋–ค ํŠน์„ฑ ๋ณ€ํ™”๋ฅผ ๊ฐ€์ง€๊ณ  ์žˆ์„๊ฒƒ์ธ๊ฐ€๋ฅผ ์˜ˆ์ธกํ•˜๋Š” ๋ถ„์„์ด๋‹ค.

Monte Carlo : Simulation Setting

Monte Carlo ํ˜น์€Worst-case/Sensitivity ํ•ด์„์˜ ์‚ฌ์šฉ์—ฌ๋ถ€๋ฅผ์„ ํƒํ•œ๋‹ค.

Monte Carlo ํ˜น์€Worst-case/Sensitivity ํ•ด์„์˜ ์‚ฌ์šฉ์—ฌ๋ถ€๋ฅผ์„ ํƒํ•œ๋‹ค.

Output Variable :ํ•ด์„ํ•  ์ถœ๋ ฅ๋ณ€์ˆ˜๋ฅผ ์ž…๋ ฅํ•œ๋‹ค.

Output Variable :ํ•ด์„ํ•  ์ถœ๋ ฅ๋ณ€์ˆ˜๋ฅผ ์ž…๋ ฅํ•œ๋‹ค.

Number of : ๋ฐ˜๋ณต ์ˆ˜ํ–‰

ํšŸ์ˆ˜๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. (Sample : 100, Report Data : 10000)

Number of : ๋ฐ˜๋ณต ์ˆ˜ํ–‰

ํšŸ์ˆ˜๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. (Sample : 100, Report Data : 10000)

Use : ์‚ฌ์šฉํ•  ํ†ต๊ณ„

๋ถ„ํฌ์˜ ์ข…๋ฅ˜๋ฅผ

์„ ํƒํ•œ๋‹ค.(Guassian, UniformDistribution)

Use : ์‚ฌ์šฉํ•  ํ†ต๊ณ„

๋ถ„ํฌ์˜ ์ข…๋ฅ˜๋ฅผ

์„ ํƒํ•œ๋‹ค.(Guassian, UniformDistribution)

Random Number :Random Number๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. (Seed Number)

Random Number :Random Number๋ฅผ ์ž…๋ ฅํ•œ๋‹ค. (Seed Number)

Save data from : ๋‹ค์ˆ˜์‹คํ–‰ ํ•ด์„์˜ ๊ฒฐ๊ณผ์˜

์ €์žฅ ๋ฐฉ๋ฒ• ๊ฒฐ์ •

Save data from : ๋‹ค์ˆ˜์‹คํ–‰ ํ•ด์„์˜ ๊ฒฐ๊ณผ์˜

์ €์žฅ ๋ฐฉ๋ฒ• ๊ฒฐ์ •

112

limits apply to PSpice and Probe: Analog display in Probe 400 traces, or 2 times the number of sections, whichever is greaterDigital display in Probe 400 traces, or 2 times the number of sections, whichever is greaterSize of a .DAT file 2GBNumber of analog nodes that can be stored in a .DAT file 2**31 (~2,147K)Number of digital nodes that can be stored in a .DAT file 2**15 (~32K)Monte Carlo analysis 10,000 runs

limits apply to PSpice and Probe: Analog display in Probe 400 traces, or 2 times the number of sections, whichever is greaterDigital display in Probe 400 traces, or 2 times the number of sections, whichever is greaterSize of a .DAT file 2GBNumber of analog nodes that can be stored in a .DAT file 2**31 (~2,147K)Number of digital nodes that can be stored in a .DAT file 2**15 (~32K)Monte Carlo analysis 10,000 runs

Worst Case : Simulation Setting

Vary devices ~ : Tolerances์˜ ์ข…๋ฅ˜๋ฅผ์„ ํƒํ•œ๋‹ค.

Vary devices ~ : Tolerances์˜ ์ข…๋ฅ˜๋ฅผ์„ ํƒํ•œ๋‹ค.

Limit devices to : limit ๊ฐ’์„ ์ž…๋ ฅํ•œ๋‹ค.Limit devices to : limit ๊ฐ’์„ ์ž…๋ ฅํ•œ๋‹ค.

Save data from ~ : ๋‹ค์ˆ˜ ์‹คํ–‰ ํ•ด์„์—์„œ ๊ฐ ์‹คํ–‰๋งˆ๋‹ค ๋ฐ์ดํ„ฐ๋ฅผ ์ €์žฅํ•  ๊ฒƒ์ธ์ง€ ์—ฌ๋ถ€

Save data from ~ : ๋‹ค์ˆ˜ ์‹คํ–‰ ํ•ด์„์—์„œ ๊ฐ ์‹คํ–‰๋งˆ๋‹ค ๋ฐ์ดํ„ฐ๋ฅผ ์ €์žฅํ•  ๊ฒƒ์ธ์ง€ ์—ฌ๋ถ€

57

113

Monte Carlo Setting์— 10๋ฒˆ์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ํšŸ ์ˆ˜์™€ Uniform์˜ Distribution์„ ์‚ฌ์šฉํ•œ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์—์„œ ๋ณด์ด๋“ฏ์ด ์‚ฌ์šฉ๋œ ์ €ํ•ญ์˜ ์˜ค์ฐจ์˜

๋ฒ”์œ„์—์„œ ์ถœ๋ ฅ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ Monte carlo Simulation์—์„œ ๊ฐ€์žฅ ์ค‘์š”ํ•œ ํ‰๊ท ์น˜์™€ ํ‘œ์ค€ํŽธ์ฐจ๋ฅผ ํ™•์ธ

ํ•  ์ˆ˜ ์žˆ๋‹ค.

์•ž์—์„œ ์„ค๋ช…ํ•œ Parametric ๋ถ„์„ ์ด ํ›„ Performance ๋ถ„์„์„

์‹คํ–‰ ํ•œ ๊ธฐ๋Šฅ์„ ๊ทธ๋Œ€๋กœ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋ฉฐ, ์ด๋ฅผ ์ด์šฉ ์‹œ

Histogram์„ ์ง€์›ํ•œ๋‹ค.

Monte Carlo Setting์— 10๋ฒˆ์˜ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ํšŸ ์ˆ˜์™€ Uniform์˜ Distribution์„ ์‚ฌ์šฉํ•œ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ๊ฒฐ๊ณผ์—์„œ ๋ณด์ด๋“ฏ์ด ์‚ฌ์šฉ๋œ ์ €ํ•ญ์˜ ์˜ค์ฐจ์˜

๋ฒ”์œ„์—์„œ ์ถœ๋ ฅ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ Monte carlo Simulation์—์„œ ๊ฐ€์žฅ ์ค‘์š”ํ•œ ํ‰๊ท ์น˜์™€ ํ‘œ์ค€ํŽธ์ฐจ๋ฅผ ํ™•์ธ

ํ•  ์ˆ˜ ์žˆ๋‹ค.

์•ž์—์„œ ์„ค๋ช…ํ•œ Parametric ๋ถ„์„ ์ด ํ›„ Performance ๋ถ„์„์„

์‹คํ–‰ ํ•œ ๊ธฐ๋Šฅ์„ ๊ทธ๋Œ€๋กœ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋ฉฐ, ์ด๋ฅผ ์ด์šฉ ์‹œ

Histogram์„ ์ง€์›ํ•œ๋‹ค.

Monte Carlo ์‹คํ–‰ ํšŸ ์ˆ˜ : 400 ํšŒ ์‹คํ–‰

Trace / Performance Analysis.. ํด๋ฆญ

Monte Carlo ์‹คํ–‰ ํšŸ ์ˆ˜ : 400 ํšŒ ์‹คํ–‰

Trace / Performance Analysis.. ํด๋ฆญ

Monte Carlo Exam1 (Simulation Result)

114

Trace / Performance Analysis ์‹คํ–‰

Goal Function์„ ์ด์šฉํ•˜์—ฌ Histogram ์ถœ๋ ฅ ํ›„ Mean ๊ณผ Sigma๋ฅผ ํ™•์ธํ•œ๋‹ค.

Trace / Performance Analysis ์‹คํ–‰

Goal Function์„ ์ด์šฉํ•˜์—ฌ Histogram ์ถœ๋ ฅ ํ›„ Mean ๊ณผ Sigma๋ฅผ ํ™•์ธํ•œ๋‹ค.

ํ‰๊ท  : 99.99142Vํ‘œ์ค€ํŽธ์ฐจ : 2.06289V

Monte Carlo Exam1 (Simulation Result)

58

115

ABM(The Analog Behavioral Modeling)์€ ์ „๋‹ฌํ•จ์ˆ˜ ๋˜๋Š” ํ•˜๋‚˜์˜ lookup table์— ๊ด€๊ณ„๋œ ์ „๊ธฐ์  ์„ฑ๋ถ„์„ ์ˆ˜ํ•™์ ์œผ๋กœ ๋‹ค์–‘ํ•˜๊ฒŒ

ํ‘œํ˜„ํ•˜๋Š” ๋“ฑ ์‹ค์ œ ์ „๊ธฐ์ ์ธ ์†Œ์ž๋ฅผ ์ด์šฉํ•˜์ง€ ์•Š๊ณ ๋„, ํšŒ๋กœ์— ๋Œ€ํ•œ ์ˆ˜ํ•™์ ์ธ ๋ชจ๋ธ๋“ค์„ ์ด์šฉํ•˜์—ฌ ๋™์ž‘ ์‹œํ‚ฌ ์ˆ˜ ์žˆ๋‹ค.ABM . Olb ์— ์—ฌ๋Ÿฌ ์ข…๋ฅ˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์› ํ•˜๋ฉฐ, limiter , ์—ฐ์‚ฐ์ž , ๋ฏธ ์ ๋ถ„๊ธฐ, Filter, S์˜์—ญ์—์„œ์˜ Laplace(์ „๋‹ฌํ•จ์ˆ˜), Tableํ˜•ํƒœ์˜ ๋ฐ์ดํ„ฐ, ์ข…์† ์ „์›๋ฅผ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ์žˆ๋‹ค.

Analog behavioral parts : mathematical function & lookup table

Digital behavioral parts : functional and timing

- ABM library ; PSpice TemplateE^@REFDES %out 0 VALUE { LOG(V(%in)) }E^@REFDES ; standard. โ€œ Eโ€ (E device); Voltage Controled Voltage source%in , %out ; input , output pinsVALUE { log(v(%in))} ; log ์ •์˜์— ์˜ํ•œ E device ์ž…๋ ฅ์— ๋Œ€ํ•œ ์ถœ๋ ฅ ์ „์•• expression.

ABM(The Analog Behavioral Modeling)์€ ์ „๋‹ฌํ•จ์ˆ˜ ๋˜๋Š” ํ•˜๋‚˜์˜ lookup table์— ๊ด€๊ณ„๋œ ์ „๊ธฐ์  ์„ฑ๋ถ„์„ ์ˆ˜ํ•™์ ์œผ๋กœ ๋‹ค์–‘ํ•˜๊ฒŒ

ํ‘œํ˜„ํ•˜๋Š” ๋“ฑ ์‹ค์ œ ์ „๊ธฐ์ ์ธ ์†Œ์ž๋ฅผ ์ด์šฉํ•˜์ง€ ์•Š๊ณ ๋„, ํšŒ๋กœ์— ๋Œ€ํ•œ ์ˆ˜ํ•™์ ์ธ ๋ชจ๋ธ๋“ค์„ ์ด์šฉํ•˜์—ฌ ๋™์ž‘ ์‹œํ‚ฌ ์ˆ˜ ์žˆ๋‹ค.ABM . Olb ์— ์—ฌ๋Ÿฌ ์ข…๋ฅ˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์› ํ•˜๋ฉฐ, limiter , ์—ฐ์‚ฐ์ž , ๋ฏธ ์ ๋ถ„๊ธฐ, Filter, S์˜์—ญ์—์„œ์˜ Laplace(์ „๋‹ฌํ•จ์ˆ˜), Tableํ˜•ํƒœ์˜ ๋ฐ์ดํ„ฐ, ์ข…์† ์ „์›๋ฅผ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ์žˆ๋‹ค.

Analog behavioral parts : mathematical function & lookup table

Digital behavioral parts : functional and timing

- ABM library ; PSpice TemplateE^@REFDES %out 0 VALUE { LOG(V(%in)) }E^@REFDES ; standard. โ€œ Eโ€ (E device); Voltage Controled Voltage source%in , %out ; input , output pinsVALUE { log(v(%in))} ; log ์ •์˜์— ์˜ํ•œ E device ์ž…๋ ฅ์— ๋Œ€ํ•œ ์ถœ๋ ฅ ์ „์•• expression.

E1

LOG(V(%IN+))EVALUE

OUT+OUT-

IN+IN-

ABM (Analog Behavior Modeling)

116

ABM (Expression)

59

117

ABM (Expression)

118

ABM (Expression)

60

119

ABM(The Analog Behavioral Modeling)์€ ์ „๋‹ฌํ•จ์ˆ˜ ๋˜๋Š” ํ•˜๋‚˜์˜ lookup table์— ๊ด€๊ณ„๋œ ์ „๊ธฐ์  ์„ฑ๋ถ„์„ ๋‹ค์–‘ํ•˜๊ฒŒ ํ‘œํ˜„ํ•˜๋Š” ๋“ฑ

์‹ค์ œ ์ „๊ธฐ์ ์ธ ์†Œ์ž๋ฅผ ์ด์šฉํ•˜์ง€ ์•Š๊ณ ๋„, ํšŒ๋กœ์— ๋Œ€ํ•œ ์ˆ˜ํ•™์ ์ธ ๋ชจ๋ธ๋“ค์„ ์ด์šฉํ•˜์—ฌ Test๋ฅผ ํ•  ์ˆ˜ ์žˆ๋‹ค.

ABM . Olb ์— ์—ฌ๋Ÿฌ ์ข…๋ฅ˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์› ํ•˜๋ฉฐ, limiter , ์—ฐ์‚ฐ์ž , ๋ฏธ ์ ๋ถ„๊ธฐ, Filter, S์˜์—ญ์—์„œ์˜ Laplace(์ „๋‹ฌํ•จ์ˆ˜), Tableํ˜•ํƒœ์˜ ๋ฐ์ดํ„ฐ, ์ข…์† ์ „์›๋ฅผ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ์žˆ๋‹ค.

ABM(The Analog Behavioral Modeling)์€ ์ „๋‹ฌํ•จ์ˆ˜ ๋˜๋Š” ํ•˜๋‚˜์˜ lookup table์— ๊ด€๊ณ„๋œ ์ „๊ธฐ์  ์„ฑ๋ถ„์„ ๋‹ค์–‘ํ•˜๊ฒŒ ํ‘œํ˜„ํ•˜๋Š” ๋“ฑ

์‹ค์ œ ์ „๊ธฐ์ ์ธ ์†Œ์ž๋ฅผ ์ด์šฉํ•˜์ง€ ์•Š๊ณ ๋„, ํšŒ๋กœ์— ๋Œ€ํ•œ ์ˆ˜ํ•™์ ์ธ ๋ชจ๋ธ๋“ค์„ ์ด์šฉํ•˜์—ฌ Test๋ฅผ ํ•  ์ˆ˜ ์žˆ๋‹ค.

ABM . Olb ์— ์—ฌ๋Ÿฌ ์ข…๋ฅ˜์˜ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ง€์› ํ•˜๋ฉฐ, limiter , ์—ฐ์‚ฐ์ž , ๋ฏธ ์ ๋ถ„๊ธฐ, Filter, S์˜์—ญ์—์„œ์˜ Laplace(์ „๋‹ฌํ•จ์ˆ˜), Tableํ˜•ํƒœ์˜ ๋ฐ์ดํ„ฐ, ์ข…์† ์ „์›๋ฅผ ํ‘œํ˜„ ํ•  ์ˆ˜ ์žˆ๋Š” ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ฐ€ ์žˆ๋‹ค.

์œ„์™€ ๊ฐ™์ด EVALUE๋ผ๋Š” ์ „์••์ œ์–ด ์ ‘์••์›์„ ์‚ฌ์šฉํ•˜์—ฌ ์ •๋ฅ˜ ํšŒ๋กœ๋ฅผ ํ‘œํ˜„ ๊ฐ€๋Šฅํ•˜๋‹ค. ABM ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—๋Š” ์ˆ˜ํ•™์ ์ธ ํ‘œํ˜„์„ ํ•  ์ˆ˜ ์žˆ๋„๋ก EXPR์˜ Column์„ ์ง€์›ํ•˜๋ฉฐ, ์ด๊ฒƒ์€ Probe Window์˜

Trace Expression๊ณผ ๊ฐ™์€ ์—ญํ• ์„ ํ•œ๋‹ค.ABS( ) ( )์˜ ์ ˆ๋Œ€๊ฐ’

V(%IN+, %IN-) ์ „์••์ œ์–ด ์ „์••์›์˜ ๊ณ ์œ  ํŠน์„ฑ์„ ๊ฐ–๋Š” ๋‘ ์ž…๋ ฅ ํ•€์˜ ์ „์•• ์ฐจ๋ฅผ ํ‘œํ˜„.

์œ„์™€ ๊ฐ™์ด EVALUE๋ผ๋Š” ์ „์••์ œ์–ด ์ ‘์••์›์„ ์‚ฌ์šฉํ•˜์—ฌ ์ •๋ฅ˜ ํšŒ๋กœ๋ฅผ ํ‘œํ˜„ ๊ฐ€๋Šฅํ•˜๋‹ค. ABM ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์—๋Š” ์ˆ˜ํ•™์ ์ธ ํ‘œํ˜„์„ ํ•  ์ˆ˜ ์žˆ๋„๋ก EXPR์˜ Column์„ ์ง€์›ํ•˜๋ฉฐ, ์ด๊ฒƒ์€ Probe Window์˜

Trace Expression๊ณผ ๊ฐ™์€ ์—ญํ• ์„ ํ•œ๋‹ค.ABS( ) ( )์˜ ์ ˆ๋Œ€๊ฐ’

V(%IN+, %IN-) ์ „์••์ œ์–ด ์ „์••์›์˜ ๊ณ ์œ  ํŠน์„ฑ์„ ๊ฐ–๋Š” ๋‘ ์ž…๋ ฅ ํ•€์˜ ์ „์•• ์ฐจ๋ฅผ ํ‘œํ˜„.

ABM (Evalue)

120

์•„๋ž˜์˜ ์˜ˆ์ œ๋Š” ํšŒ๋กœ ๋‚ด์˜ Netalias๋กœ ์„ ์–ธ๋œ Data๋„ Expr์—์„œ ํ‘œํ˜„์ด ๊ฐ€๋Šฅํ•˜๋‹ค. Expr์˜ ํ‘œํ˜„ ํ˜•์‹์„ ํ™•์ธ ํ›„ Simulation์œผ๋กœ ๊ฐ™์€ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธ ํ•œ๋‹ค.

ํšŒ๋กœ๋„ ์ž‘์„ฑ

Expr = ABS(V(vin))*gain์œผ๋กœ ์ž…๋ ฅ

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

Tran. 0 2ms 0Run Simulation

์•„๋ž˜์˜ ์˜ˆ์ œ๋Š” ํšŒ๋กœ ๋‚ด์˜ Netalias๋กœ ์„ ์–ธ๋œ Data๋„ Expr์—์„œ ํ‘œํ˜„์ด ๊ฐ€๋Šฅํ•˜๋‹ค. Expr์˜ ํ‘œํ˜„ ํ˜•์‹์„ ํ™•์ธ ํ›„ Simulation์œผ๋กœ ๊ฐ™์€ ๊ฒฐ๊ณผ๋ฅผ ํ™•์ธ ํ•œ๋‹ค.

ํšŒ๋กœ๋„ ์ž‘์„ฑ

Expr = ABS(V(vin))*gain์œผ๋กœ ์ž…๋ ฅ

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

Tran. 0 2ms 0Run Simulation

ABM (Evalue_Netalias)

61

121

์•„๋ž˜์˜ ์˜ˆ์ œ์—์„œ ์‚ฌ์šฉ๋œ EFREQ์—๋Š” EXPR ์ด์™ธ์— TABLE์ด๋ž€ DATA๋ฅผ ์„ ์–ธํ•  ์ˆ˜ ์žˆ๋‹ค.

Table์˜ ๊ธฐ๋ณธ Format์ธ (0, 0, 0)๋Š”(Freq, DB์˜ ํฌ๊ธฐ/DC์„ฑ๋ถ„, ์œ„์ƒ)์œผ๋กœ ํ‘œํ˜„๋œ๋‹ค.์˜ˆ๋กœ (5k, 0, -5760)์€ 5KHz์ผ๋•Œ 0db์˜ ํฌ๊ธฐ์™€

์œ„์ƒ์ฐจ๋Š” ๊ธฐ์ค€์ด 0๋„์—์„œ โ€“5760๋Š๋ฆผ์„

์˜๋ฏธํ•œ๋‹ค.

ํšŒ๋กœ ์ž‘์„ฑ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰.

์•„๋ž˜์˜ ์˜ˆ์ œ์—์„œ ์‚ฌ์šฉ๋œ EFREQ์—๋Š” EXPR ์ด์™ธ์— TABLE์ด๋ž€ DATA๋ฅผ ์„ ์–ธํ•  ์ˆ˜ ์žˆ๋‹ค.

Table์˜ ๊ธฐ๋ณธ Format์ธ (0, 0, 0)๋Š”(Freq, DB์˜ ํฌ๊ธฐ/DC์„ฑ๋ถ„, ์œ„์ƒ)์œผ๋กœ ํ‘œํ˜„๋œ๋‹ค.์˜ˆ๋กœ (5k, 0, -5760)์€ 5KHz์ผ๋•Œ 0db์˜ ํฌ๊ธฐ์™€

์œ„์ƒ์ฐจ๋Š” ๊ธฐ์ค€์ด 0๋„์—์„œ โ€“5760๋Š๋ฆผ์„

์˜๋ฏธํ•œ๋‹ค.

ํšŒ๋กœ ์ž‘์„ฑ ํ›„ ์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์‹คํ–‰.

Low Pass Filter์˜ ํŠน์„ฑ์„ ๋ชจ๋ธ๋ง. 5kHz์—์„œ์˜

์‘๋‹ต์„ ๋ณด๋ฉด 0dB์˜ ์ฃผํŒŒ์ˆ˜ ์‘๋‹ต์„ 6kHz์—์„œ์˜

์‘๋‹ต์„ ๋ณด๋ฉด โ€“60dB์˜ ์‘๋‹ต์„ ๋ณผ ์ˆ˜ ์žˆ๋‹ค.

Low Pass Filter์˜ ํŠน์„ฑ์„ ๋ชจ๋ธ๋ง. 5kHz์—์„œ์˜

์‘๋‹ต์„ ๋ณด๋ฉด 0dB์˜ ์ฃผํŒŒ์ˆ˜ ์‘๋‹ต์„ 6kHz์—์„œ์˜

์‘๋‹ต์„ ๋ณด๋ฉด โ€“60dB์˜ ์‘๋‹ต์„ ๋ณผ ์ˆ˜ ์žˆ๋‹ค.

ABM (Efreq)

122

Table(X,X1,Y1,X2,Y2โ€ฆ..) : X(node name)๊ฐ€ ์ž…๋ ฅ X1์ผ ๊ฒฝ์šฐ Y1์„ ์ถœ๋ ฅ

Ex) Table(V(in),v(in)>0,10,v(in)<0,-10) V(in)์˜ ๋…ธ๋“œ ์ „์••์ด +์ธ ๊ฒฝ์šฐ 10V์„ ์ถœ๋ ฅ ํ•˜๊ณ , -์ธ ๊ฒฝ์šฐ โ€“10V์„ ์ถœ๋ ฅ.

SDT(X) : Integral = S(X) DDT(X) : Derivative = D(X)

MIN(X,Y) : X์™€ Y์˜ ๊ฐ’์„ ๋น„๊ตํ•˜์—ฌ ์ถœ๋ ฅ์œผ๋กœ ์ตœ์†Œ๊ฐ’์„ ์ถœ๋ ฅ

MAX(X,Y) : X์™€ Y์˜ ๊ฐ’์„ ๋น„๊ตํ•˜์—ฌ ์ถœ๋ ฅ์œผ๋กœ ์ตœ๋Œ€๊ฐ’์„ ์ถœ๋ ฅ

LIMIT(X,min,max) : if X < min , then min โ€ฆ if X > max , then max , else x

SGN(X) : ( if X > 0 +1 ), ( if X < 0 -1 ) , ( if X=0 0 )

STP(X) : if X > 0 1 , otherwise 0

IF(X,Y,Z) : X๊ฐ€ ์ฐธ์ด๋ฉด, Y๊ฐ’์„ ์ถœ๋ ฅ ํ•˜๊ณ , ๊ฑฐ์ง“์ด๋ฉด, Z๊ฐ’์„ ์ถœ๋ ฅ.

์ข…ํ•ฉ์ ์ธ ์˜ˆ, IF(i(V2)>0,TABLE(i(V2),30A,0.5, 100A,8.0),TABLE(i(V2), -100A,8.0, -30A,0.5))))*SDT(v(%in+, %in-))

Table(X,X1,Y1,X2,Y2โ€ฆ..) : X(node name)๊ฐ€ ์ž…๋ ฅ X1์ผ ๊ฒฝ์šฐ Y1์„ ์ถœ๋ ฅ

Ex) Table(V(in),v(in)>0,10,v(in)<0,-10) V(in)์˜ ๋…ธ๋“œ ์ „์••์ด +์ธ ๊ฒฝ์šฐ 10V์„ ์ถœ๋ ฅ ํ•˜๊ณ , -์ธ ๊ฒฝ์šฐ โ€“10V์„ ์ถœ๋ ฅ.

SDT(X) : Integral = S(X) DDT(X) : Derivative = D(X)

MIN(X,Y) : X์™€ Y์˜ ๊ฐ’์„ ๋น„๊ตํ•˜์—ฌ ์ถœ๋ ฅ์œผ๋กœ ์ตœ์†Œ๊ฐ’์„ ์ถœ๋ ฅ

MAX(X,Y) : X์™€ Y์˜ ๊ฐ’์„ ๋น„๊ตํ•˜์—ฌ ์ถœ๋ ฅ์œผ๋กœ ์ตœ๋Œ€๊ฐ’์„ ์ถœ๋ ฅ

LIMIT(X,min,max) : if X < min , then min โ€ฆ if X > max , then max , else x

SGN(X) : ( if X > 0 +1 ), ( if X < 0 -1 ) , ( if X=0 0 )

STP(X) : if X > 0 1 , otherwise 0

IF(X,Y,Z) : X๊ฐ€ ์ฐธ์ด๋ฉด, Y๊ฐ’์„ ์ถœ๋ ฅ ํ•˜๊ณ , ๊ฑฐ์ง“์ด๋ฉด, Z๊ฐ’์„ ์ถœ๋ ฅ.

์ข…ํ•ฉ์ ์ธ ์˜ˆ, IF(i(V2)>0,TABLE(i(V2),30A,0.5, 100A,8.0),TABLE(i(V2), -100A,8.0, -30A,0.5))))*SDT(v(%in+, %in-))

ABM (Other Function)

62

123

๋•Œ๋•Œ๋กœ ๊ธฐ์กด์˜ PSpice model์„ ์ˆ˜์ •ํ•˜๋Š” ๊ฒƒ์ด ํ•„์š”ํ•˜๋‹ค. ์ด๊ฒƒ์€ ํ•œ ๋‘๊ฐœ์˜ parameter๋ฅผ ๋ณ€๊ฒฝํ•˜์—ฌ ๊ธฐ์กด์˜ model๊ณผ ๋น„์Šทํ•œ

model์„ ๋งŒ๋“ค ์ˆ˜ ์žˆ๊ณ  MonteCarlo ํ˜น์€ Worst Case analysis ์ˆ˜ํ–‰ ํ•˜๊ธฐ์œ„ํ•ด ์˜ค์ฐจ๋ฅผ ์ถ”๊ฐ€ํ•  ์ˆ˜ ์žˆ๋‹ค.

model์„ ์ˆ˜์ •ํ•˜๋Š” ๋ช‡ ๊ฐ€์ง€ ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค. ๋ชจ๋“ model libraries๊ฐ€ ASCII text files๋กœ ๋˜์–ด ์žˆ์–ด์„œ text editor๋กœ ์ˆ˜์ • ํ•  ์ˆ˜ ์žˆ๋‹ค. Schematics์—์„œ model editor์„ ์ œ๊ณตํ•œ๋‹ค. ์ด ๋‘ ๋ฐฉ๋ฒ•์€ ์ž๋™์ ์œผ๋กœ ์ƒˆ๋กœ์šด ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ํ™˜๊ฒฝ์„ ๊ตฌ์„ฑํ•˜๊ณ  ํŒŒ์ผ์ด ์ค‘๋ณต๋˜๋Š” ๊ฒƒ์„ ๋ง‰๋Š”๋‹ค.

๋•Œ๋•Œ๋กœ ๊ธฐ์กด์˜ PSpice model์„ ์ˆ˜์ •ํ•˜๋Š” ๊ฒƒ์ด ํ•„์š”ํ•˜๋‹ค. ์ด๊ฒƒ์€ ํ•œ ๋‘๊ฐœ์˜ parameter๋ฅผ ๋ณ€๊ฒฝํ•˜์—ฌ ๊ธฐ์กด์˜ model๊ณผ ๋น„์Šทํ•œ

model์„ ๋งŒ๋“ค ์ˆ˜ ์žˆ๊ณ  MonteCarlo ํ˜น์€ Worst Case analysis ์ˆ˜ํ–‰ ํ•˜๊ธฐ์œ„ํ•ด ์˜ค์ฐจ๋ฅผ ์ถ”๊ฐ€ํ•  ์ˆ˜ ์žˆ๋‹ค.

model์„ ์ˆ˜์ •ํ•˜๋Š” ๋ช‡ ๊ฐ€์ง€ ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค. ๋ชจ๋“ model libraries๊ฐ€ ASCII text files๋กœ ๋˜์–ด ์žˆ์–ด์„œ text editor๋กœ ์ˆ˜์ • ํ•  ์ˆ˜ ์žˆ๋‹ค. Schematics์—์„œ model editor์„ ์ œ๊ณตํ•œ๋‹ค. ์ด ๋‘ ๋ฐฉ๋ฒ•์€ ์ž๋™์ ์œผ๋กœ ์ƒˆ๋กœ์šด ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ ํ™˜๊ฒฝ์„ ๊ตฌ์„ฑํ•˜๊ณ  ํŒŒ์ผ์ด ์ค‘๋ณต๋˜๋Š” ๊ฒƒ์„ ๋ง‰๋Š”๋‹ค.

R1

1k

D1D1N750

V10Vdc

0

V

1. ํšŒ๋กœ ๊ตฌ์„ฑ : VDC, R, AGND, D1N750์‹ฌ๋ฒŒ์„ ์‚ฌ์šฉํ•œ๋‹ค.

๊ทธ๋ฆผ์ฒ˜๋Ÿผ Voltage marker๋ฅผ ๋ฐฐ์น˜ํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

.DC V1 10V

3. Simulate ํ•˜๊ณ  Probe์—์„œ ๊ฒฐ๊ณผ๋ฅผ ๋ณธ๋‹ค.4-5V์—์„œ ํด๋ฆฝ ๋œ

์ „์••์„ ๋ณด๊ฒŒ ๋œ๋‹ค.

4. D1N750 symbol์„ ํด๋ฆญํ•œ๋‹ค.

Edit/PSpice Model ์„ ํƒ ํ›„View Model Editor์„ ์‹œ์ž‘ํ•œ๋‹ค.

1. ํšŒ๋กœ ๊ตฌ์„ฑ : VDC, R, AGND, D1N750์‹ฌ๋ฒŒ์„ ์‚ฌ์šฉํ•œ๋‹ค.

๊ทธ๋ฆผ์ฒ˜๋Ÿผ Voltage marker๋ฅผ ๋ฐฐ์น˜ํ•œ๋‹ค.

์‹œ๋ฎฌ๋ ˆ์ด์…˜ ์„ค์ •

.DC V1 10V

3. Simulate ํ•˜๊ณ  Probe์—์„œ ๊ฒฐ๊ณผ๋ฅผ ๋ณธ๋‹ค.4-5V์—์„œ ํด๋ฆฝ ๋œ

์ „์••์„ ๋ณด๊ฒŒ ๋œ๋‹ค.

4. D1N750 symbol์„ ํด๋ฆญํ•œ๋‹ค.

Edit/PSpice Model ์„ ํƒ ํ›„View Model Editor์„ ์‹œ์ž‘ํ•œ๋‹ค.

Model Editor

124

D1D1N750

ํŽธ์ง‘ํ•˜๊ณ ์ž ํ•˜๋Š” ๋ถ€ํ’ˆ ์„ ํƒ.Capture Menu / Edit / Pspice Model ํด๋ฆญ.Model Editor ์‹คํ–‰.

Monte Carlo์—์„œ ์ €ํ•ญ์— ์˜ค์ฐจ๋ฅผ ์ž…๋ ฅํ•˜๋Š” ๋ฐฉ๋ฒ•๊ณผ ๋™์ผ ํ•จ.

ํŽธ์ง‘ํ•˜๊ณ ์ž ํ•˜๋Š” ๋ถ€ํ’ˆ ์„ ํƒ.Capture Menu / Edit / Pspice Model ํด๋ฆญ.Model Editor ์‹คํ–‰.

Monte Carlo์—์„œ ์ €ํ•ญ์— ์˜ค์ฐจ๋ฅผ ์ž…๋ ฅํ•˜๋Š” ๋ฐฉ๋ฒ•๊ณผ ๋™์ผ ํ•จ.

Model Editor์—์„œ ๋ณด์ด๋“ฏ์ด D1N750์˜ ์ œ๋„ˆ ์ „์••์€ BV์— ์˜ํ•ด Set๋˜์–ด ์žˆ์œผ๋ฉฐ, BV๋Š” Breakdown Voltage ์ด๋‹ค. ์›๋ณธ์˜

D1N750์˜ BV๋Š” 4.7๋กœ SET๋˜์–ด ์žˆ์ง€๋งŒ ์ด๊ฒƒ์„ 8.0์œผ๋กœ ๋ณ€๊ฒฝ ์‹œ Models list๋ž€์˜ Attribute๊ฐ€ ์ˆ˜์ • ๋์Œ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ๋‹ค.์—ฌ๊ธฐ์„œ BV๋ฅผ 8.0์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ ์ €์žฅ ํ•œ๋‹ค. ์ €์žฅ๋œ ํŒŒ์ผ์€ Capture์—์„œ ์ž‘์—… ์ค‘์ธ ํ”„๋กœ์ ํŠธ์— ์ €์žฅ ๋˜๋ฉฐ, ์›๋ณธ์— Save As ํ˜•์‹์œผ๋กœ ์ €์žฅ๋œ๋‹ค.

Model Editor์—์„œ ๋ณด์ด๋“ฏ์ด D1N750์˜ ์ œ๋„ˆ ์ „์••์€ BV์— ์˜ํ•ด Set๋˜์–ด ์žˆ์œผ๋ฉฐ, BV๋Š” Breakdown Voltage ์ด๋‹ค. ์›๋ณธ์˜

D1N750์˜ BV๋Š” 4.7๋กœ SET๋˜์–ด ์žˆ์ง€๋งŒ ์ด๊ฒƒ์„ 8.0์œผ๋กœ ๋ณ€๊ฒฝ ์‹œ Models list๋ž€์˜ Attribute๊ฐ€ ์ˆ˜์ • ๋์Œ์„ ํ™•์ธ ํ•  ์ˆ˜ ์žˆ๋‹ค.์—ฌ๊ธฐ์„œ BV๋ฅผ 8.0์œผ๋กœ ๋ณ€๊ฒฝ ํ›„ ์ €์žฅ ํ•œ๋‹ค. ์ €์žฅ๋œ ํŒŒ์ผ์€ Capture์—์„œ ์ž‘์—… ์ค‘์ธ ํ”„๋กœ์ ํŠธ์— ์ €์žฅ ๋˜๋ฉฐ, ์›๋ณธ์— Save As ํ˜•์‹์œผ๋กœ ์ €์žฅ๋œ๋‹ค.

xxx.olb ํŒŒ์ผxxx.olb ํŒŒ์ผ

Model Editor

.model D1N750 D(Is=880.5E-18 Rs=.25 Ikf=0 N=1 Xti=3 Eg=1.11 Cjo=175p M=.5516+ Vj=.75 Fc=.5 Isr=1.859n Nr=2 Bv=4.7 Ibv=20.245m Nbv=1.6989+ Ibvl=1.9556m Nbvl=14.976 Tbv1=-21.277u)* Motorola pid=1N750 case=DO-35* 89-9-18 gjg* Vz = 4.7 @ 20mA, Zz = 300 @ 1mA, Zz = 12.5 @ 5mA, Zz =2.6 @ 20mA

.model D1N750 D(Is=880.5E-18 Rs=.25 Ikf=0 N=1 Xti=3 Eg=1.11 Cjo=175p M=.5516+ Vj=.75 Fc=.5 Isr=1.859n Nr=2 Bv=4.7 Ibv=20.245m Nbv=1.6989+ Ibvl=1.9556m Nbvl=14.976 Tbv1=-21.277u)* Motorola pid=1N750 case=DO-35* 89-9-18 gjg* Vz = 4.7 @ 20mA, Zz = 300 @ 1mA, Zz = 12.5 @ 5mA, Zz =2.6 @ 20mA

xxx.Lib ํŒŒ์ผxxx.Lib ํŒŒ์ผ

63

125

Subcircuit์ œ์ž‘ ๋ฒ•์€ ์—ฌ๋Ÿฌ ๊ฐ€์ง€ ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค. ๊ฒฐ๊ณผ์ ์œผ๋กœ ASCII์˜ format์œผ๋กœ ์ด๋ฃจ์–ด์ง„ lib ํŒŒ์ผ์„ ์ œ์ž‘ํ•˜๋Š” ๊ฒƒ์ด ๋ชฉ์ ์ด๋ฉฐ,์ด์ „ ๋‹จ์›์—์„œ ์‚ฌ์šฉํ•œ Model Editor์—์„œ๋Š” Capture์—์„œ ์‚ฌ์šฉ๋˜๋Š” OlbํŒŒ์ผ์„ ์ž์ฒด์ ์œผ๋กœ ์ƒ์„ฑ ์‹œ์ผœ ์ค€๋‹ค.Lib ํŒŒ์ผ์„ ๋งŒ๋“œ๋Š” ๋ฐฉ๋ฒ•์—๋Š” ๋ฐ˜๋„์ฒด ๋ฒค๋” Web page์— Open๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ๊ฐœ์ธ pc๋กœ ๋ถˆ๋Ÿฌ ๋“ค์ด๋Š” ๋ฐฉ๋ฒ•๊ณผ ์ž‘์—… ์ค‘

Schematic์„ lib format์œผ๋กœ ์ œ์ž‘ ํ•˜๋Š” ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค.๋‘ ๋ฒˆ์งธ ์–ธ๊ธ‰ํ•œ Schematic์„ lib format์œผ๋กœ ์ œ์ž‘ํ•˜๋Š” ๋ฐฉ๋ฒ•์—๋Š” Capture์—์„œ ์ง€์›๋˜๋Š” Create Netlist๋ฅผ ์ด์šฉ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, Hierarchyํ˜•์‹ ๋ฐ Subcircuitํ˜•์‹์„ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.์ผ๋ฐ˜ .model ํ˜•์‹๊ณผ ๊ตฌ๋ถ„ ๋˜๋Š” ๊ฒƒ์€ Capture์—์„œ ์‚ฌ์šฉ๋˜๋Š” ์‹ฌ๋ฒŒ์„ ์ง€์›ํ•˜์ง€๋Š” ์•Š์œผ๋ฉฐ, Subckt format์ด ์•„๋ž˜์™€ ๊ฐ™์ด ์ฐจ์ด๊ฐ€

์žˆ๋‹ค. <format์„ ์ดํ•ด ํ•˜๊ณ , Capture์—์„œ netlist์ƒ์„ฑ ์‹œ ํ•„์š”ํ•œ Subckt ํ•€์„ ์ž์ฒด ์ƒ์„ฑ ํ•ด ์ฃผ์–ด์•ผ ํ•จ.

Subcircuit์ œ์ž‘ ๋ฒ•์€ ์—ฌ๋Ÿฌ ๊ฐ€์ง€ ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค. ๊ฒฐ๊ณผ์ ์œผ๋กœ ASCII์˜ format์œผ๋กœ ์ด๋ฃจ์–ด์ง„ lib ํŒŒ์ผ์„ ์ œ์ž‘ํ•˜๋Š” ๊ฒƒ์ด ๋ชฉ์ ์ด๋ฉฐ,์ด์ „ ๋‹จ์›์—์„œ ์‚ฌ์šฉํ•œ Model Editor์—์„œ๋Š” Capture์—์„œ ์‚ฌ์šฉ๋˜๋Š” OlbํŒŒ์ผ์„ ์ž์ฒด์ ์œผ๋กœ ์ƒ์„ฑ ์‹œ์ผœ ์ค€๋‹ค.Lib ํŒŒ์ผ์„ ๋งŒ๋“œ๋Š” ๋ฐฉ๋ฒ•์—๋Š” ๋ฐ˜๋„์ฒด ๋ฒค๋” Web page์— Open๋œ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ๊ฐœ์ธ pc๋กœ ๋ถˆ๋Ÿฌ ๋“ค์ด๋Š” ๋ฐฉ๋ฒ•๊ณผ ์ž‘์—… ์ค‘

Schematic์„ lib format์œผ๋กœ ์ œ์ž‘ ํ•˜๋Š” ๋ฐฉ๋ฒ•์ด ์žˆ๋‹ค.๋‘ ๋ฒˆ์งธ ์–ธ๊ธ‰ํ•œ Schematic์„ lib format์œผ๋กœ ์ œ์ž‘ํ•˜๋Š” ๋ฐฉ๋ฒ•์—๋Š” Capture์—์„œ ์ง€์›๋˜๋Š” Create Netlist๋ฅผ ์ด์šฉ ํ•  ์ˆ˜ ์žˆ์œผ๋ฉฐ, Hierarchyํ˜•์‹ ๋ฐ Subcircuitํ˜•์‹์„ ์ด์šฉ ๊ฐ€๋Šฅํ•˜๋‹ค.์ผ๋ฐ˜ .model ํ˜•์‹๊ณผ ๊ตฌ๋ถ„ ๋˜๋Š” ๊ฒƒ์€ Capture์—์„œ ์‚ฌ์šฉ๋˜๋Š” ์‹ฌ๋ฒŒ์„ ์ง€์›ํ•˜์ง€๋Š” ์•Š์œผ๋ฉฐ, Subckt format์ด ์•„๋ž˜์™€ ๊ฐ™์ด ์ฐจ์ด๊ฐ€

์žˆ๋‹ค. <format์„ ์ดํ•ด ํ•˜๊ณ , Capture์—์„œ netlist์ƒ์„ฑ ์‹œ ํ•„์š”ํ•œ Subckt ํ•€์„ ์ž์ฒด ์ƒ์„ฑ ํ•ด ์ฃผ์–ด์•ผ ํ•จ.

* connections: non-inverting input* | inverting input* | | positive power supply* | | | negative power supply* | | | | output* | | | | |.subckt LF411 1 2 3 4 5~~~ ~vln 0 92 dc 25.model jx NJF(Is=12.50E-12 Beta=743.3E-6 Vto=-1).ends

* connections: non-inverting input* | inverting input* | | positive power supply* | | | negative power supply* | | | | output* | | | | |.subckt LF411 1 2 3 4 5~~~ ~vln 0 92 dc 25.model jx NJF(Is=12.50E-12 Beta=743.3E-6 Vto=-1).ends

Subcircuit Format

* Subckt model format. Subcircuit <<subckt name>> <<pin name>>Schematic netlistโ€ฆ.โ€ฆ. (Subckt ํšŒ๋กœ ๊ตฌ์„ฑ๋„). Model โ€ฆโ€ฆ. (์‚ฌ์šฉ๋œ Model ์ •์˜). Ends (๋ช…๋ น ์ข…๋ฅ˜)

* Subckt model format. Subcircuit <<subckt name>> <<pin name>>Schematic netlistโ€ฆ.โ€ฆ. (Subckt ํšŒ๋กœ ๊ตฌ์„ฑ๋„). Model โ€ฆโ€ฆ. (์‚ฌ์šฉ๋œ Model ์ •์˜). Ends (๋ช…๋ น ์ข…๋ฅ˜)

U1

LF411

+3

-2

V+7

V-4

OUT 6

B1 1

B2 5

126

Spice Model Down load Sitehttp://www.PSpice.com (PSpice Community Site) : PSpice Vender site linkhttp://www.spice-club.com (Spice model & vender site link & Spice Application note down)http://www.aboutspice.com (spice site โ€ฆetc)All semiconductor manufacture companySpice Model ๋‹ค์šด ๋ฐ›์€ ํ›„ Capture library ์ œ์ž‘ ๋ฐฉ๋ฒ•.1. down ๋ฐ›์€ ํŒŒ์ผ ํ˜•์‹์€ *.lib or *.mod or *.txt 2. Model editor ์‹คํ–‰ ํ•˜์—ฌ ๋‹ค์šด ๋ฐ›์€ ํŒŒ์ผ Open

( libํŒŒ์˜ ํ˜•์‹์ด ์•„๋‹Œ ๊ฒฝ์šฐ Open ํ›„ Save asํ•˜์—ฌ libํŒŒ์ผํ˜•์‹์œผ๋กœ ์ €์žฅํ•œ๋‹ค. )3. Model editor / file menu / Create Capture part.

Spice Model Down load Sitehttp://www.PSpice.com (PSpice Community Site) : PSpice Vender site linkhttp://www.spice-club.com (Spice model & vender site link & Spice Application note down)http://www.aboutspice.com (spice site โ€ฆetc)All semiconductor manufacture companySpice Model ๋‹ค์šด ๋ฐ›์€ ํ›„ Capture library ์ œ์ž‘ ๋ฐฉ๋ฒ•.1. down ๋ฐ›์€ ํŒŒ์ผ ํ˜•์‹์€ *.lib or *.mod or *.txt 2. Model editor ์‹คํ–‰ ํ•˜์—ฌ ๋‹ค์šด ๋ฐ›์€ ํŒŒ์ผ Open

( libํŒŒ์˜ ํ˜•์‹์ด ์•„๋‹Œ ๊ฒฝ์šฐ Open ํ›„ Save asํ•˜์—ฌ libํŒŒ์ผํ˜•์‹์œผ๋กœ ์ €์žฅํ•œ๋‹ค. )3. Model editor / file menu / Create Capture part.

Create parts for library์˜ ๋Œ€ํ™”์ฐฝ์€ ๋‹ค์šด ๋ฐ›์€

libํŒŒ์ผ์˜ ํ˜•์‹์„ Capture์—์„œ ์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋„๋ก

Capture์šฉ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ž๋™ ์ƒ์„ฑํ•ด ์ฃผ๋Š”

๊ธฐ๋Šฅ์ด๋ฉฐ, ์•ž ์ ˆ์—์„œ ์„ค๋ช…ํ•œ Capture์—์„œ

์‚ฌ์šฉ๋˜๋Š” ๊ฐ์ข… ์†์„ฑ๋“ค์„ ์ž๋™์ƒ์„ฑ ํ•ด ์ค€๋‹ค.

Create parts for library์˜ ๋Œ€ํ™”์ฐฝ์€ ๋‹ค์šด ๋ฐ›์€

libํŒŒ์ผ์˜ ํ˜•์‹์„ Capture์—์„œ ์‚ฌ์šฉํ•  ์ˆ˜ ์žˆ๋„๋ก

Capture์šฉ ๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๋ฅผ ์ž๋™ ์ƒ์„ฑํ•ด ์ฃผ๋Š”

๊ธฐ๋Šฅ์ด๋ฉฐ, ์•ž ์ ˆ์—์„œ ์„ค๋ช…ํ•œ Capture์—์„œ

์‚ฌ์šฉ๋˜๋Š” ๊ฐ์ข… ์†์„ฑ๋“ค์„ ์ž๋™์ƒ์„ฑ ํ•ด ์ค€๋‹ค.

Vendor Model

๋‹ค์šด ๋ฐ›์€ Lib ํŒŒ์ผ ๋ถˆ๋Ÿฌ ์˜ค๊ธฐ๋‹ค์šด ๋ฐ›์€ Lib ํŒŒ์ผ ๋ถˆ๋Ÿฌ ์˜ค๊ธฐ

์ƒ์„ฑ ํ›„ ์ €์žฅ ํ•  Olb ํŒŒ์ผ ์œ„์น˜ ์ง€์ •์ƒ์„ฑ ํ›„ ์ €์žฅ ํ•  Olb ํŒŒ์ผ ์œ„์น˜ ์ง€์ •

64

127

๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ํšŒ๋กœ : NAND / NOR

S1DSTM1COMMAND1 = 0s 0

COMMAND2 = 10ms 1COMMAND3 = 20ms 0COMMAND4 = 30ms 1COMMAND5 = 40ms 0COMMAND6 = 50ms 1

S1DSTM2COMMAND1 = 0s 0

COMMAND2 = 20ms 1COMMAND3 = 40ms 0COMMAND4 = 60ms 1

NAND_OUT

NAND_A

NAND_B

U3A

74LS00

1

23

S1DSTM3COMMAND1 = 0s 0

COMMAND2 = 10ms 1COMMAND3 = 20ms 0COMMAND4 = 30ms 1COMMAND5 = 40ms 0COMMAND6 = 50ms 1

S1DSTM4COMMAND1 = 0s 0

COMMAND2 = 20ms 1COMMAND3 = 40ms 0COMMAND4 = 60ms 1

U4A

74LS02

23 1 NOR_OUT

NOR_A

NOR_B

V

V

V

V

V

V

NAND / NOR ๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ํšŒ๋กœNAND / NOR ๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ํšŒ๋กœ

Time Time

0s 20ms 40ms 60ms

NAND_A NAND_B NAND_OUT NOR_A NOR_B NOR_OUT

์ž…๋ ฅ์› : Stim1 / Source.olb74ls00 , 74ls02์ถœ๋ ฅ Port : Place / hierarchical port

. Tran 60ms

์ž…๋ ฅ์› : Stim1 / Source.olb74ls00 , 74ls02์ถœ๋ ฅ Port : Place / hierarchical port

. Tran 60ms

128

๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ํšŒ๋กœ : ๋ฐ˜ ๊ฐ€์‚ฐ๊ธฐ / ์ „ ๊ฐ€์‚ฐ๊ธฐ

๋ฐ˜ ๊ฐ€์‚ฐ๊ธฐ / ์ „ ๊ฐ€์‚ฐ๊ธฐ๋ฐ˜ ๊ฐ€์‚ฐ๊ธฐ / ์ „ ๊ฐ€์‚ฐ๊ธฐ

U1A

7486

12 3

U2B

74LS08

4

56

S1DSTM1COMMAND1 = 0s 0

COMMAND2 = 10ms 1COMMAND3 = 20ms 0COMMAND4 = 30ms 1COMMAND5 = 40ms 0COMMAND6 = 50ms 1

S1DSTM2COMMAND1 = 0s 0

COMMAND2 = 20ms 1COMMAND3 = 40ms 0COMMAND4 = 60ms 1

IN1

CARRY

SUM

S1DSTM3COMMAND1 = 0s 0

COMMAND2 = 40ms 1COMMAND3 = 80ms 0

U1B

7486

45 6

U2A

74LS08

1

23

U2C

74LS08

9

108

IN3

IN2

V

V

V

V

V

65

129

๋””์ง€ํ„ธ ๋…ผ๋ฆฌ ํšŒ๋กœ : EX-OR

EX - OREX - OR

S1DSTM1COMMAND1 = 0s 0

COMMAND2 = 10ms 1COMMAND3 = 20ms 0COMMAND4 = 30ms 1COMMAND5 = 40ms 0COMMAND6 = 50ms 1

S1DSTM2COMMAND1 = 0s 0

COMMAND2 = 20ms 1COMMAND3 = 40ms 0COMMAND4 = 60ms 1

IN1

Y

U3A

74041 2

U4A

7408

1

23

U5A

74041 2

U6A

7408

1

23

U7A

7432

12 3IN2

V

VV

U8A

7486

12 3

IN1

IN2

130

TTL ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœ

TTL ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœTTL ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœ

q2n3904Q1

DbreakD1

INPUT

OUTPUT

q2n3904

Q2

q2n3904Q3

q2n3904

Q4

DbreakD2

R13.6k

R21.6k

R3115

R41k

0

+VCC

V15V

0

+VCC INPUT

V2

TD = 0

TF = 1uPW = 2mPER = 4m

V1 = 0

TR = 1u

V2 = 5

0

V

V

66

131

CMOS ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœ

CMOS ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœCMOS ์ธ๋ฒ„ํ„ฐ ํšŒ๋กœ

Input_1

+VDD_14

Output_2

0

DbreakD1

DbreakD2

Input_1

+VDD_14

V15V

0

V2 TD = 0

TF = 1uPW = 2mPER = 4m

V1 = 0

TR = 1u

V2 = 5

0M11

MbreakN

M12

MbreakP

132

๋ฏธ๋ถ„, ์ ๋ถ„ํšŒ๋กœ

๋ฏธ ์ ๋ถ„ ํšŒ๋กœ๋ฏธ ์ ๋ถ„ ํšŒ๋กœ

67

133

RLC Conductance & Impedance

RLC Conductance / ImpedanceRLC Conductance / Impedance

134

3 Phase AC Source

3 Phase AC Source3 Phase AC Source

68

135

์‚ผ๊ฐํŒŒ / ํŽ„์ŠคํŒŒ Generation

์‚ผ๊ฐํŒŒ / ํŽ„์ŠคํŒŒ Generation์‚ผ๊ฐํŒŒ / ํŽ„์ŠคํŒŒ Generation

136

์ตœ๋Œ€ ์ „๋ ฅ ์ „๋‹ฌ

์ตœ๋Œ€ ์ „๋ ฅ ์ „๋‹ฌ์ตœ๋Œ€ ์ „๋ ฅ ์ „๋‹ฌ

69

137

๋‹ค์ด์˜ค๋“œ ์˜จ๋„ ๋ฐ ํฌํ™” ์ „๋ฅ˜ ํŠน์„ฑ

๋‹ค์ด์˜ค๋“œ ์˜จ๋„ ๋ฐ ํฌํ™” ์ „๋ฅ˜ ํŠน์„ฑ๋‹ค์ด์˜ค๋“œ ์˜จ๋„ ๋ฐ ํฌํ™” ์ „๋ฅ˜ ํŠน์„ฑ

138

ํ“จ๋ฆฌ์— ํŠน์„ฑ

ํ“จ๋ฆฌ์— ํŠน์„ฑํ“จ๋ฆฌ์— ํŠน์„ฑ

70

139

N๋ฐฐ ๋ฐ˜์ „๊ธฐ

N ๋ฐฐ ๋ฐ˜์ „๊ธฐN ๋ฐฐ ๋ฐ˜์ „๊ธฐ

140

Biased Positive limiter

Biased Positive limiterBiased Positive limiter

71

141

Sample and Hold circuit

Sample and Hold circuitSample and Hold circuit

142

ํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” ๋ฐœ๊ด‘ ๋‹ค์ด์˜ค๋“œ

ํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” ๋ฐœ๊ด‘ ๋‹ค์ด์˜ค๋“œํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” ๋ฐœ๊ด‘ ๋‹ค์ด์˜ค๋“œ

72

143

๋ฐ˜ํŒŒ ์ •๋ฅ˜ ํšŒ๋กœ

๋ฐ˜ํŒŒ ์ •๋ฅ˜ ํšŒ๋กœ๋ฐ˜ํŒŒ ์ •๋ฅ˜ ํšŒ๋กœ

144

BJT๋ฅผ ์ด์šฉํ•œ ์ • ์ „์•• ํšŒ๋กœ

BJT๋ฅผ ์ด์šฉํ•œ ์ • ์ „์•• ํšŒ๋กœBJT๋ฅผ ์ด์šฉํ•œ ์ • ์ „์•• ํšŒ๋กœ

73

145

์ •์ƒ ์ƒํƒœ ๋ฐœ์ง„ ํšŒ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜

์ •์ƒ ์ƒํƒœ ๋ฐœ์ง„ ํšŒ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜์ •์ƒ ์ƒํƒœ ๋ฐœ์ง„ ํšŒ๋กœ ์‹œ๋ฎฌ๋ ˆ์ด์…˜

146

๋ณ€ ๋ณต์กฐ ํšŒ๋กœ

๋ณ€ ๋ณต์กฐ ํšŒ๋กœ๋ณ€ ๋ณต์กฐ ํšŒ๋กœ

74

147

ํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” LED ๋‹ค์ด์˜ค๋“œ

ํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” ๋ฐœ๊ด‘ ๋‹ค์ด์˜ค๋“œํŠธ๋žœ์ง€์Šคํ„ฐ๋กœ ๊ตฌ๋™ ๋˜๋Š” ๋ฐœ๊ด‘ ๋‹ค์ด์˜ค๋“œ

148

๋ฐ˜ํŒŒ ์ •๋ฅ˜ํšŒ๋กœ

๋ฐ˜ํŒŒ ์ •๋ฅ˜ํšŒ๋กœ๋ฐ˜ํŒŒ ์ •๋ฅ˜ํšŒ๋กœ

75

149

Op-Amp : Pd

150

ํ“จ๋ฆฌ์— ํŠน์„ฑ

76

151

Chopper

152

C & CL ํ•„ํ„ฐ๋ฅผ ์ด์šฉํ•œ ๋ธ”๋ฆฟ์ง€ ์ •๋ฅ˜ํšŒ๋กœ

C ํ•„ํ„ฐ๋ฅผ ์ด์šฉํ•œ ๋ธŒ๋ฆฟ์ง€ ์ •๋ฅ˜ํšŒ๋กœ .Tran 0 20m 0C ํ•„ํ„ฐ๋ฅผ ์ด์šฉํ•œ ๋ธŒ๋ฆฟ์ง€ ์ •๋ฅ˜ํšŒ๋กœ .Tran 0 20m 0

CL ํ•„ํ„ฐ๋ฅผ ์ด์šฉํ•œ ๋ธŒ๋ฆฟ์ง€ ์ •๋ฅ˜ํšŒ๋กœ .Tran 0 20m 0CL ํ•„ํ„ฐ๋ฅผ ์ด์šฉํ•œ ๋ธŒ๋ฆฟ์ง€ ์ •๋ฅ˜ํšŒ๋กœ .Tran 0 20m 0

Subcircuit CreateSubcircuitSubcircuit CreateCreate

77

153

Subcircuit Create

154

ํšŒ๋กœ๋„ ๋””์ž์ธํšŒ๋กœ๋„ ๋””์ž์ธ

CaptureCapture

Capture CISCapture CIS

A/D SimulationA/D Simulation

Advanced AnalysisAdvanced Analysis

PSpice A/DPSpice A/D

PCB ๋””์ž์ธPCB ๋””์ž์ธ

Layout (EE)Layout (EE)

Layout PlusLayout Plus

์ž๋™๋ฐฐ์„ ์ž๋™๋ฐฐ์„ 

SPECCTRASPECCTRA

PSpicePSpice SPECCTRA QuestSignal Explorer

SPECCTRA QuestSignal Explorer

AllegroAllegro

ํšŒ๋กœ๋„ ๋””์ž์ธ &๋ถ€ํ’ˆ์ •๋ณด ๊ด€๋ฆฌ, ๋“ฑ๋กํšŒ๋กœ๋„ ๋””์ž์ธ &๋ถ€ํ’ˆ์ •๋ณด ๊ด€๋ฆฌ, ๋“ฑ๋ก

์•„๋‚ ๋กœ๊ทธ & ํ˜ผํ•ฉํšŒ๋กœ์‹œ๋ฎฌ๋ ˆ์ด์…˜

์•„๋‚ ๋กœ๊ทธ & ํ˜ผํ•ฉํšŒ๋กœ์‹œ๋ฎฌ๋ ˆ์ด์…˜

High-Speed Rule & SI ๋ถ„์„

High-Speed Rule & SI ๋ถ„์„

PCB ๋””์ž์ธ &์ œ์กฐ์ถœ๋ ฅ / ๊ฒ€์ฆPCB ๋””์ž์ธ &์ œ์กฐ์ถœ๋ ฅ / ๊ฒ€์ฆ

PCB SimulationPCB Simulation๋ถ€ํ’ˆ์ •๋ณด๊ด€๋ฆฌ๋ถ€ํ’ˆ์ •๋ณด๊ด€๋ฆฌ

Sca

lab

ilit

yS

cala

bil

ity

Cadence Product FamilyCadence Product Family