20
Синтез логических КМОП схем Синтез логических КМОП схем с пониженным энергопотреблением с пониженным энергопотреблением П.Н. Бибило, Л.Д. Черемисинова, С.Н. Кардаш, Н.А. Кириенко, П.В. Леончик, Д.Я. Новиков, В.И. Романов, Д.И. Черемисинов Объединенный институт проблем Объединенный институт проблем информатики НАН Беларуси информатики НАН Беларуси

Синтез логических КМОП схем с пониженным энергопотреблением П.Н. Бибило , Л.Д. Черемисинова,

Embed Size (px)

DESCRIPTION

Синтез логических КМОП схем с пониженным энергопотреблением П.Н. Бибило , Л.Д. Черемисинова, С.Н. Кардаш , Н.А. Кириенко, П.В. Леончик , Д.Я. Новиков, В.И. Романов, Д.И. Черемисинов Объединенный институт проблем информатики НАН Беларуси. - PowerPoint PPT Presentation

Citation preview

Page 1: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Синтез логических КМОП схемСинтез логических КМОП схемс пониженным энергопотреблениемс пониженным энергопотреблением

П.Н. Бибило, Л.Д. Черемисинова,

С.Н. Кардаш, Н.А. Кириенко, П.В. Леончик,Д.Я. Новиков, В.И. Романов,

Д.И. Черемисинов

Объединенный институт проблем Объединенный институт проблем информатики НАН Беларусиинформатики НАН Беларуси

Page 2: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Аппаратно-программный комплекс Аппаратно-программный комплекс проектирования КМОП СБИСпроектирования КМОП СБИС

2

Библиотека КМОП элементов с пониженным

энергопотреблением и повышенной

устойчивостью к вредным воздействиям

Программный комплекс автоматизации

проектирования логических схем,

оптимизированных по критерию

энергопотребления

Синтез логической схемы из

элементов КМОП библиотеки

КМОП СБИСс пониженным

энергопотреблением и повышенной

устойчивостью к вредным воздействиям

Page 3: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Логический элемент «И-НЕ»Логический элемент «И-НЕ»

3

library IEEE;library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_1164.all;use IEEE.NUMERIC_STD.all;use IEEE.NUMERIC_STD.all;entity NA isentity NA isport (A:IN std_ulogic;port (A:IN std_ulogic; B:IN std_ulogic;B:IN std_ulogic; Y:OUT std_ulogic);Y:OUT std_ulogic);end;end;architecture BEHAVIOR of NA isarchitecture BEHAVIOR of NA isbeginbeginY <= not (A and B) after 1ns;Y <= not (A and B) after 1ns;end;end;

Схема электрическая принципиальная ТопологияСхема электрическая принципиальная Топология

Page 4: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Энергосберегающее Энергосберегающее проектирование КМОП схемпроектирование КМОП схем

4

Оценки качества СБИС :Оценки качества СБИС : Площадь кристаллаПлощадь кристалла БыстродействиеБыстродействиеМотивация :Мотивация : Чрезмерное рассеивание энергии Чрезмерное рассеивание энергии Перегрев устройства : Перегрев устройства : нарушение функциональности нарушение функциональности сокращение времени безотказной работы ;сокращение времени безотказной работы ; Сокращение времени автономной работыСокращение времени автономной работы портативных устройств ;портативных устройств ; Повышенное энергопотребление Повышенное энергопотребление Рост затрат на оборудование и обслуживаниеРост затрат на оборудование и обслуживание

+ + ЭнергопотреблениеЭнергопотребление

Page 5: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

5

Архитектура программного комплекса для Архитектура программного комплекса для синтеза логических схем, синтеза логических схем,

оптимизированных по энергопотреблениюоптимизированных по энергопотреблению

SF,функциональное

описание

Оптимизациямногоуровневыхпредставлений

Оптимизациядвухуровневыхпредставлений

VHDL

SF,функциональное

описание

Leonardo(синтез схемы)

SF,структурное

описание

Двухуровневаясхема извентилей

Оценкаэнергопотребления

Оценкаэнергопотребления

СхемотехническаямодельVHDLVHDL

Синтезсхемы

Оценкаэнергопотребления

Page 6: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

6

Программный комплекс ЭЛС Программный комплекс ЭЛС «Энергосберегающий логический синтез»«Энергосберегающий логический синтез»

Ядро:совокупность проектных операций

ЭЛСЭЛС

Page 7: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

7

Преобразования данных в программном Преобразования данных в программном комплексе синтеза логических схем, комплексе синтеза логических схем,

оптимизированных по энергопотреблениюоптимизированных по энергопотреблению

Исходное Исходное описание схемы описание схемы на языке на языке VHDLVHDL

ИсходноеИсходноеописание схемы описание схемы на языке SFна языке SF

Проект. операция 1Проект. операция 1Описание схемы Описание схемы на языке SF 1на языке SF 1

Проект. операция 2Проект. операция 2Описание схемы Описание схемы на языке SF 2на языке SF 2

Проект. операция Проект. операция NN. . .

Описание схемы Описание схемы на языке SF на языке SF NN

Конвертер Конвертер VHDLVHDLSFSF

Конвертер Конвертер SFSFVHDLVHDL

Результирующее Результирующее описание схемы описание схемы на языке на языке VHDLVHDL

Page 8: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Общий маршрут проектирования Общий маршрут проектирования СБИССБИС

Исходная система булевых функций:Исходная система булевых функций:

– VHDL-описание; – формат SF SDF – матричная форма; – формат SF LOG – логические уравнения

Результат покрытия: Результат покрытия: сеть элементовКМОП-библиотеки в формате SF CONNECT –структурное описание netlist

Технологически независимая оптимизация

на логическом уровне

VHDL

layoutЛогическиеуравнения netlist

Система ЭЛССистема ЭЛС

ПокрытиеБиблиотечными

элементами

x = ab’ + b’c + abc’y = abc’ + abz = ab’

Двухуровневой иМногоуровневой

логики

Троичныематрицы

10x 101X01 100110 11011x 010

Технологически зависимая

оптимизацияна логическом

уровне

8

Page 9: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

9

Технологический процесс проектированияТехнологический процесс проектирования логических схем в программном логических схем в программном

комплексе ЭЛСкомплексе ЭЛС

Page 10: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

10

Технологический процесс проектированияТехнологический процесс проектирования логических схем в программном логических схем в программном

комплексе ЭЛСкомплексе ЭЛС

Page 11: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Работа программного Работа программного комплекса ЭЛСкомплекса ЭЛС

Окно с приветствием Рабочее окно настройки

Линейка инструментовсистемы ЭЛС

11

Page 12: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

12

Окна программного комплексаОкна программного комплексаЭЛС в режиме проектированияЭЛС в режиме проектирования

В режиме оптимизации двухуровневой логикиВ режиме оптимизации двухуровневой логики

Page 13: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

13

Минимизация булевых функций с учетом Минимизация булевых функций с учетом энергопотребленияэнергопотребления

Сигнальные Сигнальные вероятности: вероятности: p1 = 0.10; p2 = 0.13; p3 = 0.16; p4 = 0.19; p5 = 0.22; p6 = 0.25; p7 = 0.28; p8 = 0.31; p9 = 0.34; p10 = 0.37;p11 = 0.40; p12 = 0.43; p13 = 0.46; p14 = 0.49; p15 = 0.52

n, m, k – число аргументов, функций, конъюнкций системы ДНФ;l1, l2 – число литералов и kmin

1, kmin2 – конъюнкций минимизированных

систем ДНФ;Ps

1, Ps2 – оценки переключательной активности

подсхем;t1, t2 – время в сек.Pentium 4 (3 гГц)

Page 14: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

14

Оптимизация многоуровневых Оптимизация многоуровневых представленийпредставлений

y1 = ^a+^b*^e+b*^f;y2 = ^a*b*^c+^a*^b*^d;

y1 = ^a+(^b*^e)+(^_b*^f);y2 = ^a*(^(^((^_b*^c)+(^b*^d))));_b = ^b;

Факторизация

Page 15: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Синтез схем из вентилей с Синтез схем из вентилей с учетом энергопотребленияучетом энергопотребления

ЭЛСLeonardo

n – число элементов,m – число транзисторов,k1 – число переключений транзисторов, k2 – число переключений транзисторов по алгоритму Закревского,max – максимальное число переключений транзисторов

alu1 n m k1 k2 max

1. ЭЛС 5 26 280 52736 24

2. LeonardoSpectrum 7 32 414 67584 34

15

Page 16: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Синтез схем из библиотечных Синтез схем из библиотечных элементов в системе ЭЛСэлементов в системе ЭЛС

Булевы функции в матричной

форме

CONNECT d329A=x14 e329A=x0 f329D=x10 A=d329.O B=x15 C=e329.O g329A=x18 h329D=x16 A=f329.O B=g329.O C=x17 i329D=x10 A=d329.O B=x15 C=e329.O j329A=x17 k329D=j329.O A=i329.O B=x18 C=x16 l329A=x16 m329A=x15

Дерево иерархии блоков

16

Page 17: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

Верификация состояний Верификация состояний проектапроекта

Верификация выполняется для любых Верификация выполняется для любых пар состояний одного и того же проектапар состояний одного и того же проекта

17

Page 18: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

18

Оценка энергопотребления на основе Оценка энергопотребления на основе логическогологического VHDL VHDL-моделирования-моделирования

p ro c es s(z )

x 3

A 2

X O R 2

O 2

O 2 f1

d d 4

d d 6

d d 8d d 1 0

N f3w 7w 2

w 6

A 2

d d 2

d d 7

A 2w 1

d d 1

w 4f2N

d d 9

w 3N

d d 5w 5

x 2

x 1

A 3

d d 3

z (3 ) z (5 ) z (1 )z (7 )z (2 ) z (6 )z (8 ) z (4 ) z (9 )z (1 0 )

К

VHDL-модель схемы

library ieee;use ieee.std_logic_1164.all;use work.perecl.all;entity circ isport(x1, x2, x3 : in std_logic;

F1, F2, F3 :out std_logic;K : out integer);

end;architecture circ_arch of circ issignal W :

std_logic_vector (1 to 8);signal Z :

MAS;

begindd1 : A2 port map (w(5), x2, w(1), Z(1));dd2 : A2 port map (x3, x1, w(2), Z(2));dd3 : A3 port map (x1, x3, x2, w(3), Z(3));dd4 : O2 port map (w(7), w(2), w(4), Z(4));dd5 : N port map (w(3), w(5), Z(5));dd6 : O2 port map (w(1), w(7), F1, Z(6));dd7 : A2 port map (x2, x3, w(7), Z(7));dd8 : XOR2 port map (w(7), w(2), w(8), Z(8));dd9 : N port map (w(4), F2, Z(9));dd10 : N port map (w(8), F3, Z(10));p1 : process (Z)begin K <= sum_percl(z);end process;

end;

Подсчет числа переключенийтранзисторов:VHDL модель расширена монитором для сбора статистики переключений,который строится автоматически

Page 19: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

19 19

Методика оценки энергопотребления Методика оценки энергопотребления КМОП СБИС на схемотехническом уровне КМОП СБИС на схемотехническом уровне

Потребление тока КМОП-схемой (512 тактов)Потребление тока КМОП-схемой (512 тактов)

Схема VHDL-описание netlist КМОП-схемы в формате Edif (LeonardoSpectrum) SPICE-описание схемы (схемотех. моделирование AccuSim II)

Маршрут аналогового моделирования :Маршрут аналогового моделирования :

Результат схемотехнического Результат схемотехнического моделированиямоделирования

Page 20: Синтез логических КМОП схем с пониженным энергопотреблением П.Н.  Бибило , Л.Д. Черемисинова,

ВыводыВыводы

Программный комплекс ЭЛС позволяет

1. синтезировать логические схемы из библиотечных КМОП элементов;

2. подготовить тесты и оценить энергопотребление на этапе логического проектирования;

3. сформировать описания схем и подготовить тесты для схемотехнического моделирования,

что приводит

- к сокращению сроков проектирования;

- к уменьшению площади и энергопотребления

функциональных блоков КМОП СБИС.

20