14
Оценка энергопотребления КМОП-схем на базе VHDL-моделирования П.Н. Бибило, А.Л. Соловьёв ОИПИ НАН Беларуси, Минск

Оценка энергопотребления КМОП-схем на базе VHDL- моделирования

Embed Size (px)

DESCRIPTION

Оценка энергопотребления КМОП-схем на базе VHDL- моделирования. П.Н. Бибило, А.Л. Соловьёв ОИПИ НАН Беларуси, Минск. Взаимосвязь потребляемой мощности и переключательной активности. Динамическая мощность , потребляемая логическим элементом. где – напряжение питания; - PowerPoint PPT Presentation

Citation preview

Page 1: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

Оценка энергопотребления КМОП-схем

на базе VHDL-моделирования

П.Н. Бибило, А.Л. Соловьёв

ОИПИ НАН Беларуси, Минск

Page 2: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

2ОИПИ НАН Беларуси

Vвх

VDD

Vвых

Ca

p-МОП

n-МОП

Динамическая мощность , потребляемая логическим элементом

,2

1 2_ aaDDdyna CfNVP

где – напряжение питания; f – максимальная частота функционирования;

Ca – выходная емкость элемента;

Na– активность переключения (среднее число

изменения состояния выхода в течение одного цикла синхросигнала)

dynaP _

DDV

Взаимосвязь потребляемой мощности и переключательной активности

КМОП-инвертор

Page 3: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

3ОИПИ НАН Беларуси

Библиотека проектирования

Электрическая схема элемента N («НЕ») Электрическая схема элемента A2 («И»)

A

YY

B

A

Библиотека содержит 32 элемента

Page 4: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

4ОИПИ НАН Беларуси

Способы оценки числа переключений

1. Логическое VHDL-моделирование в системе моделирования ModelSim

2. Логическое SF-моделирование в системе Энергосберегающего Логического Синтеза “ЭЛС”

Длительности передних и задних фронтов входных сигналов 1 ns; период подачи входных сигналов 40 ns; температура +27 С.

Page 5: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

5ОИПИ НАН Беларуси

Логическое VHDL-моделирование в системе ModelSim

x 3

A 2

X O R 2

O 2

O 2 f1

d d 4

d d 6

d d 8d d 1 0

N f3w 7w 2

w 6

A 2

d d 2

d d 7

A 2w 1

d d 1

w 4f2N

d d 9

w 3N

d d 5w 5

x 2

x 1

A 3

d d 3

Логическая схема CIRC

Page 6: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

6ОИПИ НАН Беларуси

Подсчет числа переключений транзисторов

p ro c es s(z )

x 3

A 2

X O R 2

O 2

O 2 f1

d d 4

d d 6

d d 8d d 1 0

N f3w 7w 2

w 6

A 2

d d 2

d d 7

A 2w 1

d d 1

w 4f2N

d d 9

w 3N

d d 5w 5

x 2

x 1

A 3

d d 3

z (3 ) z (5 ) z (1 )z (7 )z (2 ) z (6 )z (8 ) z (4 ) z (9 )z (1 0 )

К

Page 7: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

7ОИПИ НАН Беларуси

Методика VHDL-моделирования для определения числа переключений транзисторов

•все логические элементы имеют одинаковую задержку

•VHDL-модель элемента снабжается дополнительным выходом z, значение которого задает число переключившихся транзисторов

•VHDL-модель схемы в целом дополняется процессом, осуществляющим суммирование переключений по всем элементам

Page 8: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

8ОИПИ НАН Беларуси

VHDL-модель схемы для подсчета числа переключений транзисторов

library ieee;use ieee.std_logic_1164.all;use work.perecl.all;

entity circ isport(x1, x2, x3 : in std_logic; F1, F2, F3 :out std_logic; K : out integer);end;architecture circ_arch of circ issignal W : std_logic_vector (1 to 8);signal Z : MAS; 

begindd1 : A2 port map (w(5), x2, w(1), Z(1));dd2 : A2 port map (x3, x1, w(2), Z(2));dd3 : A3 port map (x1, x3, x2, w(3), Z(3));dd4 : O2 port map (w(7), w(2), w(4), Z(4));dd5 : N port map (w(3), w(5), Z(5));dd6 : O2 port map (w(1), w(7), F1, Z(6));dd7 : A2 port map (x2, x3, w(7), Z(7));dd8 : XOR2 port map (w(7), w(2), w(8), Z(8));dd9 : N port map (w(4), F2, Z(9));dd10 : N port map (w(8), F3, Z(10)); p1 : process (Z) begin K <= sum_percl(z); end process;end;

Page 9: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

9ОИПИ НАН Беларуси

VHDL-описание элемента A2 для определения числа переключений транзисторов

library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.NUMERIC_STD.all;entity A2 isport (A:IN std_ulogic;

B:IN std_ulogic;Y:OUT std_ulogic;Z:OUT REAL); end;

architecture BEHAVIOR of A2 isbeginY <= (A and B); process (A,B)variable ptime : time:=0 ps;variable pbits,c_bits : std_logic_vector (1 to 3) :=(OTHERS=>'U');variable temp_c,temp: REAL:=0.0;beginif (ptime = now) thenpbits:=c_bits;

temp_c:=temp_c-temp;else

c_bits:=pbits;end if;pbits(1):=A xor pbits(1);pbits(2):=B xor pbits(2);pbits(3):=(A nand B) xor pbits(3);

temp:=0.0;for i in pbits'RANGE loop

if (pbits(i)='1') thentemp:=temp + 2.0;

end if;end loop;pbits(1):=A;pbits(2):=B;pbits(3):=A nand B; temp_c:=temp_c+temp;Z<=temp_c;ptime:=now;

end process;end;

Page 10: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

10ОИПИ НАН Беларуси

Временная диаграмма и число переключений транзисторов

Page 11: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

11ОИПИ НАН Беларуси

Оценка энергопотребления в системе Accusim (фирма Mentor Graphics)

Page 12: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

12ОИПИ НАН Беларуси

ОбозначенияAverage cредний потребляемый ток

m число элементов в схеме

N число наборов в тесте

S число переключений транзисторов (система ModelSim)

усредненное по всем схемам потребление тока на переключение одного транзистора, = 2,378 нА

A = S предсказанное значение потребляемого схемой тока

погрешность предсказания значения потребляемого схемой тока

Page 13: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

13ОИПИ НАН Беларуси

Результаты эксперимента

СхемаAverage

(мА) m S NA

(нА)

(мА)%

z9sym 0,8471 166 150 692 512 2,878156 0,8228 2,8addm4 1,8260 359 384 122 512 2,433893 2,0974 -14,8life 0,2715 33 47 318 512 2,937740 0,2583 4,8in0 1,1216 318 277 468 512 2,069641 1,5150 -35,0tms 0,4859 156 118 458 512 2,100160 0,6468 -33,1mlp4 1,3088 262 282 388 512 2,372996 1,5419 -17,8root 0,5769 111 112 496 512 2,625629 0,6142 -6,4intb 4,9836 1078 69 248 32 2,302957 6,0498 -21,4intb 5,0231 1078 1 096 640 512 2,345188 5,9880 -19,2С1355 3,5745 224 3 326 930 4096 4,400873 2,2707 36,4С1908 2,3098 207 2 129 820 4096 4,442266 1,4536 37,0CHT 0,7957 116 1 119 990 4096 2,910102 0,7644 3,9FRG2 5,0366 777 7 131 138 4096 2,892964 4,8672 3,3C432 1,6411 188 467338 1024 3,596086 1,2759 22,2DALU 2,0218 327 725049 1024 2,855552 1,9794 2,1I3 0,3874 42 165538 1024 2,396828 0,4519 -16,6

AccusimtпредскA

Page 14: Оценка энергопотребления КМОП-схем на базе  VHDL- моделирования

14ОИПИ НАН Беларуси

Выводы

1. Используя VHDL-моделирование, можно подсчитывать число переключений транзисторов в КМОП схеме и прогнозировать потребление тока. 2. Средняя точность прогноза составляет -9,9 % ÷ +13,8 %. 3. Погрешность предсказания потребляемого тока уменьшается с увеличением сложности схемы и увеличении длины теста.