119
UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET Branka Jokanović HARDVERSKE REALIZACIJE DISTRIBUCIJA IZ COHEN-OVE KLASE I COMPRESSIVE SENSING METODA REKONSTRUKCIJE SIGNALA -MAGISTARSKI RAD- Podgorica, 2012

Branka Jokanović · Sažetak U ovom radu predložena je modifikovana forma distribucija iz Cohen-ove klase. Data forma omogućava efikasno hardversko rješenje Cohen-ove klase pogodno

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • UNIVERZITET CRNE GORE

    ELEKTROTEHNIČKI FAKULTET

    Branka Jokanović

    HARDVERSKE REALIZACIJE DISTRIBUCIJA IZ

    COHEN-OVE KLASE I COMPRESSIVE SENSING

    METODA REKONSTRUKCIJE SIGNALA

    -MAGISTARSKI RAD-

    Podgorica, 2012

  • PODACI I INFORMACIJE O MAGISTRANTU

    Ime i prezime Branka Jokanović

    Datum i mjesto rođenja 15.02.1989. Nikšić

    Naziv završenog osnovnog studijskog

    programa i godina diplomiranja

    Elektrotehnički fakultet, odsjek za

    Elektroniku, telekomunikacije i računare,

    2011

    INFORMACIJE O MAGISTARSKOM RADU

    Naziv postdiplomskog studija Studijski program Računari

    Naslov rada

    Hardverske realizacije distribucija iz Cohen-

    ove klase i Compressive sensing metoda

    rekonstrukcije signala

    Fakultet na kome je rad odbranjen Elektrotehnički fakultet, Podgorica

    UDK, OCJENA I ODBRANA MAGISTARSKOG RADA

    Datum prijave magistarskog rada 18.05.2012

    Datum sjednice Vijeća Univerzitetske

    jedinice na kojoj je prihvaćena tema 04.06.2012

    Komisija za ocjenu teme i podobnosti

    magistranta

    Prof. dr Srdjan Stanković

    Prof. dr Radovan Stojanović

    Doc. dr Irena Orović

    Mentor Prof. dr Srdjan Stanković

    Komisija za ocjenu rada

    Prof. dr Srdjan Stanković

    Prof. dr Radovan Stojanović

    Prof. dr Veselin Ivanović

    Doc. dr Irena Orović

    Komisija za odbranu rada

    Prof. dr Veselin Ivanović

    Prof. dr Srdjan Stanković

    Prof. dr Radovan Stojanović

    Doc. dr Irena Orović

    Datum odbrane 16.08.2012

  • Zahvaljujem se svom mentoru prof. dr Srdjanu Stankoviću na strpljenju,

    svakodnevnom i kontinuiranom radu, korisnim sugestijama i idejama koje su bile

    ključne u izradi ove magistarske teze. Omogućivši mi da radim u Laboratoriji za

    multimedije, pružio mi je šansu da svakodnevno stičem i obogaćujem svoja znanja

    iz oblasti hardverskih realizacija i obrade signala.

    Posebnu zahvalnost dugujem doc. dr Ireni Orović na korisnim sugestijama i

    pomoći prilikom pisanja naučnih radova na kojima je zasnovana ova teza.

    Takođe, zahvalnost dugujem ostatku laboratorijskog tima doc. dr Nikoli Žariću i

    Anđeli Draganić.

  • Sažetak

    U ovom radu predložena je modifikovana forma distribucija iz Cohen-ove klase. Data

    forma omogućava efikasno hardversko rješenje Cohen-ove klase pogodno za implementaciju.

    Posebna pažnja je posvećena realizaciji jezgra koje je zasnovano na eksponencijalnoj ili sinusnoj

    funkciji. Predložena arhitektura je implementirana koristeći FPGA tehnologiju i može se koristiti

    u raznim aplikacijama u realnom vremenu koje zahtjevaju vremensko-frekvencijsku analizu

    signala. Kako realizovano hardversko rješenje ne razmatra signale u prisustvu impulsnog ili

    kombinacije impulsnog i Gauss-ovog šuma, predložena je median i L-forma Ambiguity funkcije.

    Zahvaljujući tim formama, postojeći hardver je jednostavno modifikovati tako da omogući

    adekvantnu analizu signala i prisustvu pomenutih šumova.

    Dio rada je posvećen algoritmima na kojima se zasniva kompresivno odabiranje. Jedan

    od ključnih djelova ovog metoda rekonstrukcije signala predstavlja optimizacioni metod. U radu

    je opisano kompresivno odabiranje signala koji je razrijeđen u frekvencijskom domenu, a kao

    optimizacioni metod korišćen je primal dual algoritam. Poznavajući sve operacije koje čine

    kompresivno odabiranje moguće je dizajnirati arhitekturu, pa samim tim i hardversko rješenje

    sistema koje bi obavljalo rekonstrukciju signala na osnovu kompresivnog odabiranja.

  • Abstract

    A modified form of the Cohen class distributions is proposed. An efficient hardware

    solution based on this form is introduced. A special attention is devoted to the realization of

    kernel function. Namely, the proposed solution includes various kernels based on the exponential

    and sine function. The proposed architecture is implemented using field programmable gate

    array technology and can be used in high-speed real-time applications. However, the proposed

    solution represents a standard form of the Cohen class distribution which is sensitive to the

    impulse noise or the combination of impulse and Gaussian noise. In order to analyze signal

    disturbed by these types of noise, median and L-form of the Ambiguity function are introduced.

    Based on these forms, the existing hardware is modified to provide the signal analysis in the

    presence of impulse or combination of impulse and Gaussian noise.

    Also, we describe the algorithms on which Compressive sensing is based. The

    optimization procedure represents an important part of this reconstruction method. We consider

    signal sparse in frequency, while the primal dual algorithm is used for signal reconstruction. The

    architecture and hardware solution for Compressive sensing based on the primal dual algorithms

    can be realized by using the described operations.

  • Magistarska teza Branka Jokanović

    1

    Sadržaj

    Uvod ............................................................................................................................................ 7

    Glava 1

    1 Vremensko-frekvencijske distribucije signala ...................................................................... 9

    1.1 Kratkotrajna Fourier-ova transformacija i spektrogram ........................................ 10

    1.2 Wigner-ova distribucija.......................................................................................... 10

    1.3 S-metod .................................................................................................................. 11

    1.4 Cohen-ova klasa distribucija .................................................................................. 12

    1.5 Primjer .................................................................................................................... 13

    1.6 Hardverske realizacije nekih vremensko-frekvencijskih distribucija .................... 14

    Glava 2

    2 Robusne distribucije ............................................................................................................ 18

    2.1 Uvod – priroda šuma .............................................................................................. 18

    2.2 Estimacije signala .................................................................................................. 19

    2.2.1 Mean i median forme Fourier-ove transformacije ..................................... 21

    2.2.2 Marginalni median ..................................................................................... 23

    2.3 Mean i median forme Cohen-ove klase distribucija .............................................. 23

    2.3.1 Dobijanje estimacije Cohen-ove klase distribucija korišćenjem Wigner-ove

    distribucije ............................................................................................................. 24

    2.4 Median forma Ambiguity funkcije ........................................................................ 27

    2.5 Forma Ambiguity funkcije u prisustvu kombinovanog Gauss-ovog i impulsnog

    šuma (robusna forma) .......................................................................................................... 28

    2.6 Primjeri................................................................................................................... 30

    Glava 3

    3 Modifikovana forma Cohen – ove klase distribucija pogodna za hardversku realizaciju i

    njena implementacija ............................................................................................................... 34

  • Magistarska teza Branka Jokanović

    2

    3.1 Modifikovana forma Cohen-ove klase ................................................................... 36

    3.1.1 Procedura računanja eksponencijalne funkcije za negativne argumente ... 42

    3.2 Hardverska realizacija Cohen-ove klase distribucija ............................................. 44

    3.3 Hardver za Ambiguity funkciju ............................................................................. 44

    3.4 Hardverska realizacija funkcije jezgra ................................................................... 45

    3.5 Hardver za inverznu 2D Fourier-ovu transformaciju ............................................. 49

    3.6 FPGA implementacija Cohen-ove klase distribucija ............................................. 50

    Glava 4

    4 Hardverska realizacija robusne forme Cohen – ove klase .................................................. 57

    4.1 Hardverska realizacija median i L forme Cohen-ove klase distribucija ................ 58

    4.2 Blok za računanje L-forme Ambiguity funkcije .................................................... 60

    4.2.1 Memorija i množenje ................................................................................. 60

    4.2.2 Sorter .......................................................................................................... 61

    Glava 5

    5 Arhitektura za realizaciju Compressive sensing metode..................................................... 67

    5.1 Shannon-ova teorema ............................................................................................. 67

    5.2 Kompresivno odabiranje ........................................................................................ 68

    5.3 Arhitektura za realizaciju kompresivnog odabiranja ............................................. 69

    5.4 Množenje matrice sa vektorom .............................................................................. 71

    5.5 Transponovanje matrice ......................................................................................... 74

    5.6 Generisanje slučajnih brojeva ................................................................................ 74

    5.6.1 Linearni feedback shift registar ................................................................. 75

    5.6.2 Linear congruential generator .................................................................... 77

    5.6.3 Blum Blum Shub generator ....................................................................... 77

    5.7 Arhitektura za optimizacioni metod ....................................................................... 78

    5.7.1 Primal-dual algoritam za rekonstrukciju signala ....................................... 78

    5.7.2 Arhitektura za primal-dual algoritam ......................................................... 86

  • Magistarska teza Branka Jokanović

    3

    5.8 Komentari vezani za implementaciju kompresivnog odabiranja u FPGA

    tehnologiji ............................................................................................................................ 96

    Zaključak................................................................................................................................... 97

    Literatura ................................................................................................................................... 99

    Prilog ....................................................................................................................................... 103

  • Magistarska teza Branka Jokanović

    4

    Lista tabela

    Tabela 3.1 Najčešće korišćena jezgra ................................................................................................... 34

    Tabela 3.2 Karakteristike za čip EP3SL150F1152I3 u serijskoj konfiguraciji ..................................... 54

    Tabela 3.3 Iskorišćenost logike za pojedinačne blokove u serijskoj konfiguraciji ............................... 54

  • Magistarska teza Branka Jokanović

    5

    Lista slika

    Slika 1.1 a) Spektrogram, b) Wigner-ova distribucija, c) Distribucija iz Cohen-ove klase .................. 14

    Slika 1.2 Gauss-ovo jezgro ................................................................................................................... 14

    Slika 1.3 Blok šema realizacije sistema za računanje S-metoda ........................................................... 15

    Slika 1.4 Šema realizacije multiple clock cycle sistema za vremensko-frekvencijsku analizu ............ 16

    Slika 1.5 Šema realizacije multiple clock cycle sistema za prostorno-frekvencijsku analizu .............. 17

    Slika 2.1 Gauss-ova raspodjela ............................................................................................................. 18

    Slika 2.2 Laplace-ova raspodjela .......................................................................................................... 19

    Slika 2.3 a) Ambiguity funkcija signala b) Standardna Ambiguity funkcija signala sa Gauss-ovim

    šumom, c) Median forma Ambiguity funkcije signala sa Gauss-ovim šumom, d) L-forma Ambiguity

    funkcije signala sa Gauss-ovim šumom, e) Standardna Ambiguity funkcija signala sa impulsnim

    šumom f) Median forma Ambiguity funkcije signala sa impulsnim šumom, g) L-forma Ambiguity

    funkcije signala sa impulsnim šumom, h) Standardna Ambiguity funkcija signala sa mješovitim

    šumom, i) Median forma Ambiguity funkcije signala sa mješovitim šumom, j) L-forma Ambiguity

    funkcije signala sa mješovitim šumom. ................................................................................................ 31

    Slika 2.4 a) Standardna Wigner-ova distribucija zašumljenog signala, b) Median forma Wigner-ove

    distribucije signala sa mješovitim šumom, c) L forma Wigner-ove distribucije signala sa mješovitim

    šumom. .................................................................................................................................................. 32

    Slika 2.5 Wigner-ova distribucija signala sa mješovitim šumom dobijena preko Ambiguity funkcije :

    a) Standardna forma, b) Median forma, c) L-forma.............................................................................. 32

    Slika 3.1 Jezgra iz Cohen-ove klase distribucija: a) Choi-Williams, b) Born-Jordan, c) Gauss, d)

    Radial Gauss ......................................................................................................................................... 35

    Slika 3.2 Konstante u memoriji na čiji ulaz dolazi adresa a ................................................................. 37

    Slika 3.3 Unificirana procedura za računanje jezgra baziranog na eksponencijalnoj i sinusnoj funkciji

    .............................................................................................................................................................. 39

    Slika 3.4 Serijska konfiguracija za realizaciju Cohen-ove klase distribucija ....................................... 44

    Slika 3.5 Paralelna konfiguracija za realizaciju Cohen-ove klase distribucija ..................................... 44

    Slika 3.6 Gauss-ovo jezgro a) Originalni oblik b) Cjelobrojna realizacija ........................................... 47

    Slika 3.7 Realizacija Taylor-ovog reda sa četiri člana razvoja ............................................................. 47

    Slika 3.8 Algoritam realizacije Taylor-ovog reda sa L članova Taylor-ovog reda ............................... 48

    Slika 3.9 Blok šema realizacije funkcije jezgra .................................................................................... 49

    Slika 3.10 Blok šema dijela sistema koji obavlja inverznu 2D Fourier-ovu transformaciju ................. 50

    Slika 3.11 FPGA realizacija autokorelacione funkcije ......................................................................... 52

    Slika 3.12 Blok za računanje brze Fourier-ove transformacije ............................................................. 52

    Slika 3.13 a) FPGA realizacija bloka koji određuje tip jezgra, b) FPGA realizacija eksponencijalne

    funkcije ................................................................................................................................................. 53

    Slika 3.14 Dio hardverske realizacije bloka koji računa inverznu 2D Fourier-ovu transformaciju ...... 53

    Slika 3.15 Simulacioni rezultati ............................................................................................................ 54

    Slika 3.16 Simulacioni rezultati dobijeni na izlazu sistema: a) Ambiguity funkcija, b) Distribucija iz

    Cohen-ove klase bazirana na Gauss-ovom jezgru ................................................................................ 56

    Slika 4.1 Blok šema realizacije distribucije iz Cohen-ove klase .......................................................... 59

    Slika 4.2 Realizacija autokorelacione funkcije ..................................................................................... 59

    Slika 4.3 Blok šema realizacije kola za računanje L-forme Ambiguity funkcije ................................. 60

  • Magistarska teza Branka Jokanović

    6

    Slika 4.4 RAM jedinice u Quartus-u ..................................................................................................... 61

    Slika 4.5 Blok šema realizacije sortera ................................................................................................. 62

    Slika 4.6 Moduli za kašnjenje u Quartus-u ........................................................................................... 63

    Slika 4.7 Blok šema realiazcije L kola .................................................................................................. 65

    Slika 4.8 Kolo multipleksera sa 32 ulaza .............................................................................................. 66

    Slika 5.1 Blok šema realizacije kompresivnog odabiranja ................................................................... 70

    Slika 5.2 Ilustracija množenja matrice i vektora ................................................................................... 71

    Slika 5.3 FPGA implementacija množenja matrice i vektora čiji su elementi kompleksni brojevi ...... 72

    Slika 5.4 a) Eksterna konfiguracija LFSR, b) Interna konfiguracija LFSR .......................................... 76

    Slika 5.5 Simulacioni rezultati dobijeni za LFSR. ................................................................................ 76

    Slika 5.6 Simulacioni rezultati dobijeni za linear congruential generator. ........................................... 77

    Slika 5.7 Blok šema realizacije optimizacionog metoda ...................................................................... 80

    Slika 5.8 Dobijanje početnog niza xf0 ................................................................................................... 81

    Slika 5.9 Algoritam računanja kvadratnog korijena ............................................................................. 81

    Slika 5.10 Dobijanje početnog niza xf0 ................................................................................................. 87

    Slika 5.11 Računanje vrijednosti za prvu iteraciju................................................................................ 90

    Slika 5.12 Realizacija iterativne procedure ........................................................................................... 92

    Slika 5.13 Realizacija iterativne procedure (nastavak) ......................................................................... 93

    Slika 5.14 Realizacija iterativne procedure (nastavak) ......................................................................... 94

    Slika 5.15 Backtracking line search metod ........................................................................................... 95

  • Magistarska teza Branka Jokanović

    7

    UVOD

    Većina signala u prirodi ima vremenski promjenljiv spektar. Analiza ovih signala u

    isključivo vremenskom ili frekvencijskom domenu ne daje dovoljno informacija. Zbog toga

    se koristi združeno vremensko-frekvencijsko predstavljanje. Definisane su razne forme

    vremensko-frekvencijskih distribucija, ali nijedna ne predstavlja optimalno rješenje za sve

    tipove signala. Spektrogram, kao jedna od računski najprostijih distribucija, ima slabu

    rezoluciju u vremensko-frekvencijskom domenu. Rezoluciju je moguće poboljšati upotrebom

    Wigner-ove distribucije, ali u slučaju multikomponentnih signala pojavljuju se kros članovi.

    Cohen-ova klasa distribucija predstavlja skup distribucija kojima je cilj uklanjanje kros

    članova. Ove distribucije su našle primjenu pri analizi raznih tipova signala kao što su

    radarski, biomedicinski i seizmički signali. Da bi se ova analiza uspješno obavila u praksi

    potrebno je realizovati efikasna hardverska rješenja za distribicije iz Cohen-ove klase.

    Takođe, treba obezbjediti pogodne forme ovih distribucija za signale zahvaćenim šumovima.

    U prvoj glavi dat je opis najčešće korišćenih vremensko-frekvencijskih distribucija:

    spektrograma, Wigner-ove distribucije, S-metoda i Cohen-ove klase distribucija. Takođe je

    dat pregled hardverskih realizacija nekih vremensko-frekvencijskih distribucija. Forme

    distribucija u prvoj glavi predstavljaju standardne forme i one daju dobre rezultate za analizu

    signala u prisustvu Gauss-ovog šuma. Međutim, pored Gauss-ovog šuma, u praksi se često

    javljaju impulsni šumovi ili kombinacija Gauss-ovog i impulsnog šuma (mješoviti šum). U

    tim slučajevima se koriste robusne forme i L-forme distribucija. Median forma i L-forma

    Ambiguity funkcije su uvedene u Glavi 2. Na njima se zasnivaju forme distribucija iz Cohen-

    ove klase koje se mogu koristiti u prisustvu impulsnog ili mješovitog šuma.

    S obzirom da je realizovanje bilo koje distribucije iz Cohen-ove klase računski

    zahtjevno, potrebno je naći pogodno rješenje za hardversku implementaciju. U Glavi 3

    predstavljena je forma Cohen-ove klase distribucija koja sadrži generalnu formu jezgara

    baziranih na eksponencijalnoj ili sinusnoj funkciji. Koristeći datu formu realizovano je

    efikasno hardversko rješenje za Cohen-ovu klasu distribucija. Predstavljeno rješenje ne uzima

    u obzir slučaj kada je signal zahvaćen sa impulsnim ili mješovitim šumom. U Glavi 4 dato

  • Magistarska teza Branka Jokanović

    8

    hardversko rješenje je modifikovano na osnovu predloženih robusnih i L-formi Ambiguity

    funkcije.

    Peta glava je posvećena algoritmima na kojima je zasnovano kompresivno odabiranje.

    Kompesivno odabiranje, kao alternativa Shannon-ovoj teoremi, može se primjenjivati na

    velikom broju signala. U radu je opisana realizacija jednog metoda kompresivnog odabiranja

    zasnovanog na primal dual algoritmu. Primal dual algoritam predstavlja optimizacioni metod

    koji ima ključnu ulogu u rekonstrukciji signala. Ovaj algoritam je inače računski

    najzahtjevniji dio sistema. S obzirom na široku primjenljivost kompresivnog odabiranja,

    definisanje algoritama na kojima bi se zasnivala arhitektura sistema ima veliki značaj.

  • Magistarska teza Branka Jokanović

    9

    Equation Chapter (Next) Section 1

    Glava 1

    1 Vremensko-frekvencijske distribucije signala

    Signali, kao nosioci informacije su svuda oko nas. Da bi dobili željenu informaciju iz

    signala potrebno je obraditi signal na odgovarajući način. Domen u kome se obavlja obrada i

    analiza signala ima važnu ulogu. Prirodan domen za predstavljanje velikog broja signala je

    vremenski domen. Međutim, veoma često je teško protumačiti informaciju iz signala

    njegovim posmatranjem u ovom domenu. Iz tog razloga, pristupa se analizi signala u drugim

    domenima [1], [2]. Prelazak signala iz jednog u drugi domen se obavlja preko transformacija.

    Najčešće korišćena transformacija je Fourier-ova transformacija kojim se vrši predstavljanje

    signala u frekvencijskom domenu. Fourier-ova transformacija u kontinualnoj formi se

    definiše kao:

    ( ) ( )j tF f t e dt

    , (1.1)

    Frekvencijski domen je naročito pogodan za signale koji se mogu predstaviti kao

    suma sinusoida. Inverzna Fourier-ova transformacija je definisana sa:

    1

    ( ) ( )2

    j tf t F e dt

    , (1.2)

    gdje F(ω) predstavlja Fourier-ovu transformaciju signala. Koristeći primjer Fourier - ove

    transformacije, mogu se bolje razumjeti i neke druge transformacije u obradi signala.

    Generalno, neka transformacija treba da omogući prestavljanje signala preko nekih

    jednostavnih, takozvanih bazisnih funkcija koje su pomnožene odgovarajućim koeficijentima.

    Svakom signalu odgovaraju određeni transformacioni koeficijenti. U većini aplikacija cilj je

    da se preko što manjeg broja bazisnih funkcija predstavi signal. Smanjivanje broja

    koeficijenata preko kojih se predstavlja signal je naročito značajno u oblastima kompresije

    signala i uklanjanja šuma. Postoje razne vrste transformacija, ali nijedna nije optimalna za sve

    vrste signala. Transformacije koje predstavljaju signal u frekvencijskom domenu kao što su

    Fourier-ova transformacija ili diskretna kosinusna transformacija pružaju podatke o

    spektralnom sadržaju signala, ali ne i o vremenskom pojavljivanju spektralnih komponenti. S

  • Magistarska teza Branka Jokanović

    10

    obzirom da je većina signala u prirodi vremenski promjenljivog spektralnog sadržaja,

    potrebno je koristiti transformaciju koja će pratiti spektar u vremenu [1]-[10].

    1.1 Kratkotrajna Fourier-ova transformacija i spektrogram

    Najprostije rješenje za dobijanje vremenski promjenljivog spektra je kada se za dati

    vremenski trenutak izvrši Fourier-ova transformacija signala odsiječenog prozorom, a zatim

    se, klizajući prozor izračunavaju Fourier-ove transformacije za ostale vremenske trenutke. Na

    ovaj način je definisana kratkotrajna Fourier-ova transformacija [1]:

    ( , ) ( ) ( ) .jSTFT t x t w e d

    (1.3)

    Njena energetska verzija je spektrogram:

    2

    ( , ) ( , ) .SPEC t STFT t (1.4)

    Ova transformacija je veoma jednostavna, ali ima određene nedostatke. Naime, zbog

    fiksne funkcije prozora ne možemo imati dobru rezoluciju i u vremenskom i u

    frekvencijskom domenu. Sužavanjem prozora u vremenskom domenu poboljšava se

    vremenska, ali slabi frekvencijska rezolucija i obratno.

    1.2 Wigner-ova distribucija

    U cilju poboljšanja rezolucije u vremensko- frekvencijskom domenu uvedena je

    Wigner-ova distribucija koja se zasniva na autokorelacionoj funkciji signala [1]. Wigner-ova

    distribucija se definiše kao Fourier-ova transformacija autokorelacione funkcije R(t,τ):

    ( , ) ( , )jWD t R t e d

    , (1.5)

    gdje je R(t,τ):

    ( , ) ( ) ( )2 2

    R t x t x t

    . (1.6)

  • Magistarska teza Branka Jokanović

    11

    Za monokomponente signale, Wigner-ova distribucija daje bolju rezoluciju u odnosu

    na spektrogram. U slučaju multikomponentnih signala, Wigner-ova distribucija zbog svoje

    kvadratne prirode, proizvodi kros članove. Za multikomponentni signal x(t),

    1

    ( ) ,m

    i

    i

    x t x

    (1.7)

    Wigner-ova distribucija je:

    1 1 1

    ( , ) ( ) ( ) ( ) ( ) .2 2 2 2

    m m mj j

    i i i k

    i i kk

    WD t x t x t e d x t x t e d

    (1.8)

    Uočavamo da, pored sume Wigner-ovih distribucija za svaku komponentu signala, postoje i

    članovi koji predstavljaju neželjenu komponentu. Sumirajući rezultate pomenutih distribucija

    možemo konstantovati da spektrogram ima slabu rezoluciju, dok se kod Wigner-ove

    distribucije javljaju kros članovi. Međutim, ovo nisu jedine mane ovih distribucija. Treba

    napomenuti da u slučaju signala čiji viši izvodi faze se ne mogu zanemariti, Wigner-ova

    distribucija i spektrogram će proizvoditi rasipanje oko trenutne frekvencije (trenutna

    frekvencija je prvi izvod faze). Stoga su uvedene i distribucije višeg reda. S obzirom da je

    kod velikog broja signala moguće zanemariti više izvode faze, velika pažnja je posvećena

    definisanju distribucija koje bi davale bolje rezultate u odnosu na Wigner-ovu distribuciju i

    spektrogram. Kao što je rečeno, kros članovi predstavljaju manu Wigner-ove distribucije. U

    cilju poboljšanja Wigner-ove distribucije predložene su brojne forme distribucija čiji je cilj

    eliminacija ili redukcija uticaja kros članova.

    1.3 S-metod

    Jedan od načina da se redukuju ili u potpunosti eliminišu kros članovi je upotreba S-

    metoda koji koristi vezu kratkotrajne Fourier-ove transformacije i Wigner-ove distribucije

    [3]. Naime, Wigner-ova distribucija se može izraziti preko kratkotrajne Fourier-ove

    transformacije na sljedeći način:

    1

    ( , ) ( , ) ( , ) .WD t STFT t STFT t d

    (1.9)

  • Magistarska teza Branka Jokanović

    12

    Uvodeći prozor u frekvencijskom domenu, moguće je eliminisati kros članove. Odnosno, S-

    metod se definiše kao:

    1

    ( , ) ( ) ( , ) ( , ) ,SM t P STFT t STFT t d

    (1.10)

    ili u diskretnom obliku:

    *

    2 *

    1

    ( , ) ( ) ( , ) ( , )

    ( , ) 2Re ( ) ( , ) ( , ) .

    L

    i L

    L

    i

    SM n k P i STFT n k i STFT n k i

    STFT n k P i STFT n k i STFT n k i

    (1.11)

    Mana S-metoda je što ne zadovoljava marginalne uslove.

    1.4 Cohen-ova klasa distribucija

    U cilju eliminisanja kros članova koji se javljaju u Wigner-ovoj distribuciji može se

    koristiti i čitav set distribucija koje pripadaju Cohen-ovoj klasi [4]. Napomenimo da

    spektrogram i Wigner-ova distribucija takođe pripadaju generalizovanoj Cohen-ovoj klasi.

    Jedna od definicija Cohenove klase distribucija se bazira na korišćenju ambiguity domena. U

    ambiguity domenu auto i kros članovi imaju drugačiji raspored u odnosu na raspored u

    vremensko-frekvencijskom domenu. Za signale čije se glavne kompunente nalaze na niskim

    frekvencijama, kakva je većina u praksi, auto članovi će biti locirani oko koordinatnog

    početka. Ovakav raspored nam omogućava izdvajanje auto članova koristeći niskopropusnu

    filtarsku funkciju. Filtarska funkcija se zove funkcija jezgra. Za povratak iz ambiguity u

    vremensko-frekvencijski domen koristi se 2D inverzna Fourier-ova transformacija. Na ovaj

    način dobija se vremensko-frekvencijska distribucija koja ima redukovane kros članove.

    Međutim, treba napomenuti da kod nekih signala auto i kros članovi u ambiguity domenu

    mogu biti veoma bliski. U tom slučaju, treba biti pažljiv sa odabirom funkcije jezgra, jer ako

    bi uklonili dio auto članova došlo bi do gubitka korisne informacije. Optimalno rješenje

    predstavlja kompromis između očuvanja auto članova i eliminacije kros članova.

    Cohen-ova klasa distribucija se definiše kao:

  • Magistarska teza Branka Jokanović

    13

    1

    ( , ) ( , ) ( , ) ,2

    j t jCD t c A e d d

    (1.12)

    gdje c(θ,τ) predstavlja funkciju jezgra, dok je A(θ,τ) Ambiguity funkcija. Koordinata θ se

    označava kao Doppler-ova frekvencija, dok je τ vremensko kašnjenje. Relacija koja povezuje

    Ambiguity funkciju i Wigner-ovu distribuciju je:

    ,( , ) { ( , )},tA FT WD t (1.13)

    gdje FTt,ω predstavlja 2D Fourier-ovu transformaciju po vremenu t i frekvenciji ω.

    Ambiguity funkcija se definiše kao Fourier-ova transformacija auto-korelacione

    funkcije po promjenljivoj t:

    *( , ) ( ) ( ) .2 2

    j tA x t x t e dt

    (1.14)

    Svaka specifična distribucija iz Cohen-ove klase je određena funkcijom jezgra. Na primjer, za

    2 2

    ( , ) , 0,c e

    dobija se Choi-Williams-ova distribucija. Osobine distribucija iz

    Cohen-ove klase su određene osobinama funkcije jezgra. Neke od osobina koje bi trebalo da

    zadovoljava funkcija jezgra su:

    1. Funkcija jezgra je realna *( , ) ( , )c c ,

    2. Trenutna snaga signala ( ,0) 1c ,

    3. Spektralna gustina snage (0, ) 1c .

    1.5 Primjer

    Posmatrajmo signal 20.3( 7) 3.1sin(0.2 )( ) j n j nx n e e . Na Slici 1.1 dat je spektrogram

    signala, Wigner-ova distribucija i distribucija iz Cohen-ove klase zasnovana na Gauss-ovom

    jezgru (Slika 1.2).

  • Magistarska teza Branka Jokanović

    14

    a) b) c)

    Slika 1.1 a) Spektrogram, b) Wigner-ova distribucija, c) Distribucija iz Cohen-ove klase

    Slika 1.2 Gauss-ovo jezgro

    Dati signal ima dvije komponente. Uočavamo da spektrogram ima slabu rezoluciju, dok

    je kod Wigner-ove distribucije rezolucija znatno bolja. Međutim, pojavljuje se kros član na

    sredini između dva auto člana. Dobra rezolucija i odsustvo kros člana je postignuto

    korišćenjem distribucije iz Cohen-ove klase zasnovane na Gauss-ovom jezgru.

    1.6 Hardverske realizacije nekih vremensko-frekvencijskih

    distribucija

    Za vremensku-frekvencijsku analizu signala u praksi potrebno je obezbjediti optimalna

    hardverska rješenja. U literaturi su predložene razne vrste implementacija za spektrogram i

    Wigner-ovu distribuciju. Ove distribucije su računski jednostavne za realizaciju. S-metod,

    iako računski kompleksniji u odnosu na pomenute distribucije, ima bolju rezoluciju u odnosu

    na spektrogram, a kros članovi koji se javljuju kod Wigner-ove distribucije za slučaj

    multikomponentnih signala, su redukovani ili potpuno eliminisani. Jedan način realizacije

    sistema koji računa S-metod je predložen u [11]. Data su hardverska rješenja za S-metod sa

    fiksnom dužinom prozora i za adaptivni S-metod. Takođe, postojeći hardver se može koristiti

  • Magistarska teza Branka Jokanović

    15

    za realizaciju L-Wigner-ove distribucije i polinomijalne Wigner-ove distribucije. Šema

    realizacije za S-metod sa fiksnom dužinom prozora (L=2) je data na Slici 1.3 (zbog

    jednostavnosti prikaza izostavljeni su kontrolni signali). Sistem se sastoji iz dva dijela. Prvi

    dio služi za računanje kratkotrajne Fourier-ove transformacije, dok drugi dio koristi dobijenu

    kratkotrajnu Fourier-ovu transformaciju za realizaciju S-metoda. Ulazni signal se preko A/D

    konvertora pretvara u 16-bitni signal i na osnovu takvog signala se računa kratkotrajna

    Fourier-ova transformacija koja se dalje koristi pri računanju S-metoda. Da bi se izbjegla

    operacija kompleksnog množenja, posebno se radi sa realnim STFTRe i imaginarnim dijelom

    STFTIm kratkotrajne Fourier-ove transformacije. S obzirom na vezu koja postoji između S-

    metoda, L- Wigner-ove distribucije i polinomijalne Wigner-ove distribucije dato rješenje

    predstavlja efikasan način realizacije pomenutih vremensko-frekvencijskih distribucija.

    A/D

    R1

    X

    X

    16

    R64

    ...

    Vcc

    +Vcc

    ...

    k=0

    k=1...+

    +

    +

    Vcc

    X

    X

    STFTIm(n-1,k)

    STFTRe(n-1,k)

    X

    XSTFTRe(n,k+2)

    STFTRe(n,k-2)

    XSTFTRe(n,k-1)

    STFTRe(n,k+1)

    STFTRe(n,k)

    shift

    shift

    +

    +

    X

    XSTFTIm(n,k+1)

    STFTIm(n,k-1)

    XSTFTIm(n,k+2)

    STFTIm(n,k-2)

    STFTIm(n,k)

    shift

    shift

    +

    +

    +

    SM(n,k)

    Slika 1.3 Blok šema realizacije sistema za računanje S-metoda

    Drugačiji način realizacije hardverskog rješenja za vremensko-frekvencijsku analizu

    je dat u [12]-[15]. Pomenuta rješenja koriste pristup sa više taktova (multiple clock cycle

    implementacija - MCCI). Ovaj pristup je pogodan, jer omogućava korišćenje istih

    hardverskih resursa više puta što umanjuje broj kola potrebnih za njegovu realizaciju. U [12]

    je data realizacija sistema koji se zasniva na S-metodu. Šema realizacije sistema je data na

    Slici 1.4. Ovaj sistem se može podijeliti na dva bloka. Prvi blok računa kratkotrajnu Fourier-

  • Magistarska teza Branka Jokanović

    16

    ovu transformaciju (označenu sa F na slici), dok drugi dio modifikuje izlaze prvog bloka u

    cilju dobijanja distribucije zasnovane na S-metodu ili na L-Wigner-ovoj distribuciji. Razlika

    ovog pristupa u odnosu na prethodni je ta što se pokušava raspodjeliti vrijeme računanja

    distribucije na više taktova. Odnosno, računanje se obavlja iz nekolika koraka, pri čemu je

    trajanje svakog koraka jedan takt. Na ovaj način se povećava frekvencija takta. U prvom taktu

    se računa kratkotrajna Fourier-ova transformacija, dok se u drugom računa spektrogram. U

    sljedećim taktovima se računa S-metod, pri čemu što je veći redni broj takta, širi je i prozor

    koji se koristi za računanje S-metoda. Multiplekser na ulazu drugog dijela omogućava

    korišćenje dobijenog S-metoda ukoliko se želi računati L-Wigner-ova distribucija. Ovaj

    pristup je iskorišćen i za prostorno-frekvencijsku analizu 2D signala u [13] čija je šema

    realizacije data na Slici 1.5. Prikazan je sistem gdje je širina prozora za realizaciju 2D S-

    metoda L=1. Otuda devet elemenata u konvolucionom prozoru koji se dalje dovode na dio

    koji od vrijednosti 2D kratkotrajne Fourier-ove transformacije računa 2D S-metod (STFT-

    SM).

    A/D

    R1

    X

    X

    16

    R64

    ...

    Vcc

    +Vcc

    ...k=0

    k=1...

    +

    +

    +

    Vcc

    X

    X

    FIm(n-1,k)

    FRe(n-1,k)

    FIm(n,k-N/2+1)

    FIm(n,k)

    M

    U

    XM

    U

    X

    M

    U

    X

    M

    U

    X

    M

    U

    X

    X

    D

    M

    U

    X shift

    M

    U

    X+

    M

    U

    X

    0

    real

    X

    D

    M

    U

    X shift

    M

    U

    X+

    M

    U

    X

    0

    imag

    M

    U

    X

    0+

    SM

    ......

    ......

    FIm(n,k+N/2-1)

    FRe(n,k-N/2+1)

    FRe(n,k+N/2-1)

    FRe(n,k)

    Slika 1.4 Šema realizacije multiple clock cycle sistema za vremensko-frekvencijsku analizu

  • Magistarska teza Branka Jokanović

    17

    (k1+1,k2+1) (k1+1,k2) (k1+1,k2-1) kašnjenje

    (k1,k2+1) (k1,k2) (k1,k2-1) kašnjenje

    (k1-1,k2+1) (k1-1,k2) (k1-1,k2-1)

    STFT

    9

    M

    U

    X

    M

    U

    X

    X + SMregistarshiftSM

    Slika 1.5 Šema realizacije multiple clock cycle sistema za prostorno-frekvencijsku analizu

  • Magistarska teza Branka Jokanović

    18

    Equation Chapter (Next) Section 1

    Glava 2

    2 Robusne distribucije

    2.1 Uvod – priroda šuma

    Šumovi su neizbježna pojava u realnim signalima. Naime, zbog različitih uzroka,

    nikad nemamo idealno „čist“ signal, već neku zašumljenu verziju. Postoje razne vrste

    šumova. Najčešći šum je termički šum koji nastaje u poluprovodničkim komponentama.

    Jedan takođe veoma rasprostranjen tip šuma je impulsni šum. Svi šumovi su slučajne veličine.

    S obzirom na tu osobinu, šumovi se ne mogu u potpunosti eliminisati. Međutim, moguće je

    smanjiti njihov uticaj. Da bi analizirali šum koriste se funkcije raspodjele vjerovatnoće.

    Termički šum se može modelovati Gauss-ovom raspodjelom, dok impulsnom šumu odgovara

    Laplace-ova raspodjela. Na Slici 2.1 je data Gauss-ova raspodjela koja se opisuje relacijom

    2

    2

    ( )

    21

    ( ) ,2

    e

    p e e

    (2.1)

    gdje je µ srednja vrijednost promjenljive e, dok je σ varijansa šuma.

    Slika 2.1 Gauss-ova raspodjela

    Srednja vrijednost nam govori koja vrijednost šuma je najviše zastupljena, dok

    varijansa pruža informaciju koliko su ostale vrijednosti šuma udaljene u odnosu na srednju

    vrijednost. Oba parametra su vrlo bitna, jer ako šum ima malu srednju vrijednost to ne znači

    da su ostale vrijednosti takođe bliske srednjoj vrijednosti. Odnosno, manja vrijednost µ ne

    znači manju varijansu. Iz tog razloga se uzimaju u obzir oba parametra. Još jedna

    e

    p(e)

  • Magistarska teza Branka Jokanović

    19

    karakteristika Gauss-ovog šuma je ta što vrijednosti na krajevima intervala imaju male

    vjerovatnoće pojavljivanja.

    Impulsni šum je šum koji se najčešće javlja uslijed atmosferskog pražnjenja. Ovaj šum

    može da uzima vrlo velike vrijednosti, odnosno u signalu se javljaju impulsi. Odatle i njegov

    naziv. Impulsni šum se može modelovati Laplace-ovom raspodjelom (Slika 2.2):

    1

    ( ) ,2

    e

    bp e eb

    (2.2)

    gdje su b i µ parametri raspodjele. Parametar µ predstavlja centralnu vrijednost raspodjele,

    dok vrijednost parametra b određuje nagib raspodjele.

    Slika 2.2 Laplace-ova raspodjela

    Šumovi kojima odgovara Laplace-ova raspodjela se zovu heavy-tailed šumovi.

    Postavlja se pitanje na koji način je moguće smanjiti uticaj šuma bilo kojeg tipa. Definisane

    su različite vrste filtara čiji je zadatak redukcija šuma.

    2.2 Estimacije signala

    Jedan od načina filtriranja definiše Huber-ova estimaciona teorija. Po ovoj teoriji,

    estimator signala se dobija rješavanjem optimizacionog problema koji zavisi od statističkih

    karakteristika šuma. Neka je dat diskretni signal f(n) koji sadrži šum i koji se može

    modelovati kao:

    ( ) ( ) ( ),f n s n v n (2.3)

    e

    p(e)

  • Magistarska teza Branka Jokanović

    20

    gdje je s(n) nezašumljena verzija signala f(n), dok je v(n) signal šuma. Po Huber-ovoj teoriji

    estimator signala, odnosno filtrirani signal x(n) se dobija kao rješenje sljedećeg

    optimizacionog problema:

    ( ) arg min ( ) .n N

    k n N

    x n F f k

    (2.4)

    Za svaki odbirak signala f(n) formira se skup od 2N+1 odbiraka koji učestvuju u

    estimaciji tačke x(n). Funkcija F(e) predstavlja funkciju gubitaka, gdje je ( )e f k . Ova

    funkcija zavisi od funkcije gustine šuma pv(e) i definiše se kao:

    ( ) log ( ).vF e p e (2.5)

    S obzirom na zavisnost funkcije F(e) od pv(e), u slučaju šuma čija funkcija gustine šuma

    odstupa od zadate, filtar neće davati zadovoljavajuče rezultate. U nastavku su date forme

    filtara za Gauss-ov i impulsni šum.

    Gauss-ov šum

    Kod Gauss-ovog šuma, funkcija gustine vjerovatnoće je data sa (2.1), pa je funkcija gubitaka:

    2

    2

    ( )

    221

    ( ) log ( ) log( ) .2

    e

    F e p e e e

    (2.6)

    Rješavanjem optimizacionog problema dobija se forma filtra koji zapravo predstavlja filtar

    srednje vrijednosti:

    ,

    1( ) ( ) ( ).

    2 1

    n N

    k n N n Nk n N

    f k mean f k x nN

    (2.7)

    Impulsni šum

    Na sličan način se dobija forma filtra za šum sa Laplace-ovom raspodjelom. Funkcija

    gubitaka je:

    1

    ( ) log ( ) log( ) .2

    e

    bF e p e e eb

    (2.8)

    Zamjenjujući (2.8) u (2.4) i minimizacijom dobija se filtar koji se zove median filtar:

  • Magistarska teza Branka Jokanović

    21

    ( ) 0 ( ) 0.n N n N

    k n N k n N

    sign f k sign f k

    (2.9)

    Date forme filtara su zasnovane na posmatranju signala u vremenskom domenu. S

    obzirom na značaj transformacija signala, treba naći i njihove odgovarajuće forme filtara.

    2.2.1 Mean i median forme Fourier-ove transformacije

    Neka je dat signal f(n) od N odbiraka koji sadrži šum v(n). Njegova Fourier-ova

    transformacija se dobija rješavanjem sljedećeg optimizacionog problema:

    21

    0

    ( ) arg min ( ) .N j kn

    N

    n

    X k F f n e

    (2.10)

    Gauss-ov šum

    Za Gauss-ov šum funkcija gubitaka se definiše kao 2( )F e e . Minimizacija izraza

    221

    0

    ( , ) ( ) ,N j kn

    N

    n

    I k f n e

    (2.11)

    podrazumijeva traženje njegovog parcijalnog izvoda po i izjednačavanje dobijenog izraza

    sa nulom. Opisanim postupkom dobija se jednačina sa nepoznatom :

    21 1

    0 0

    ( ) ,N Nj kn

    N

    n n

    f k e

    (2.12)

    čijim rješavanjem se dobija:

    21

    0

    1( ) ( ).

    N j knN

    n

    f k e X kN

    (2.13)

    Izraz (2.13) predstavlja standardnu formu diskretne Fourier-ove transformacije.

  • Magistarska teza Branka Jokanović

    22

    Impulsni šum

    Iako postoje nekoliko vrsta impulsnih šumova, većina se može modelovati sa Laplace-

    ovom raspodjelom, odnosno njihova funkcija gubitaka je ( )F e e . Slično kao u slučaju

    Gauss-ovog šuma, vršimo minimizaciju sljedećeg izraza:

    21

    0

    ( , ) ( ) .N j kn

    N

    n

    I k f k e

    (2.14)

    Izjednačavanjem parcijalnog izvoda po µ,

    ( , )0

    I k

    ,

    dobija se

    21

    0

    ( ) 0.N j kn

    N

    n

    sign f k e

    (2.15)

    Funkcija znaka ( )sign x se može zamjeniti sa ( )x

    sign xx

    , pa (2.15) postaje:

    2

    1

    20

    ( )0,

    ( )

    j knN N

    j knn N

    f k e

    f k e

    (2.16)

    odnosno:

    2

    1

    1 20

    20

    1 ( )( ).

    1( )

    ( )

    j knN N

    Nj knn N

    j knn N

    f k eX k

    f k e

    f k e

    (2.17)

    S obzirom da relacija (2.17) sadrži traženu estimaciju µ i na lijevoj i na desnoj strani,

    potrebno je naći odgovarajući način rješavanja. Razmotrimo tri načina za rješavanje

    jednačine:

    iterativna procedura,

    vektor median,

  • Magistarska teza Branka Jokanović

    23

    marginalni median.

    Dobijena forma filtra u prisustvu impulsnog šuma je poznata pod nazivom median forma

    [16]-[18].

    2.2.2 Marginalni median

    Za rješavanje izraza (2.17) najčešće se koristi marginalni median. Razlog je manja

    računska složenost u odnosu na iterativnu proceduru i vektor median. Manja računska

    složenost znači i brže izvršavanje. Marginalni median se može koristiti kada su realni i

    imaginarni dio funkcije gubitaka nezavisni, odnosno F(e) možemo zapisati kao:

    ( ) Re{ ( )} Im{ ( )} .F e F e j F e (2.18)

    Postupak traženja marginalnog mediana se može objasniti na primjeru diskretne Fourier-ove

    transformacije. Marginalni median diskretne Fourier-ove transformacije je:

    2 2

    ( ) (Re ( ) ) (Im ( ) ) 0, 1 .j kn j kn

    N NX k median f n e jmedian f n e n N

    (2.19)

    Naime, posebno se posmatraju nizovi koji predstavljaju realne i imaginarne djelove

    elemenata niza

    2

    ( )j kn

    Nf n e

    . Dobijeni nizovi se sortiraju i uzima se srednja vrijendost niza.

    Ovaj postupak razdvajanja na realni i imaginarni dio se koristi i u slučajevima kada se

    funkcija gubitaka ne može predstaviti kao u (2.18). Greška koja nastaje se može zanemariti,

    jer je mala razlika u rezultatima dobijenim preko marginalnog mediana, vektor mediana i

    iterativne procedure.

    2.3 Mean i median forme Cohen-ove klase distribucija

    Mean i median forme vremensko-frekvencijskih distribucija su izvedene na sličan

    način kao u slučaju Fourier-ove transformacije. Za signale u prisustvu impulsnog šuma,

    definisane su median forme spektrograma i Wigner-ove distribucije. S obzirom da postoji

  • Magistarska teza Branka Jokanović

    24

    veza između Cohen-ove klase distribucija, tačnije Ambiguity funkcije i Wigner-ove

    distribucije, na osnovu median forme Wigner-ove distribucije mogu se izračunati distribucije

    iz Cohen-ove klase.

    2.3.1 Dobijanje estimacije Cohen-ove klase distribucija korišćenjem

    Wigner-ove distribucije

    Za Wigner-ovu distribuciju optimizacioni problem se definiše na sljedeći način:

    /2

    /2

    , arg min , , ,p

    p

    N

    m N

    WD n k F e n k m

    (2.20)

    gdje je Np širina prozora koja se koristi kod autokorelacione funkcije, a e(n,k,m):

    * 2 /, , 2 Re .j mk Ne n k m f n m f n m e (2.21)

    Gauss-ov šum (standardna forma Wigner-ove distribucije i Ambiguity funkcije)

    Za Gauss-ov šum i njegovu funkciju gubitaka 2( )F e e optimizacioni problem (2.20)

    postaje:

    /2

    2

    /2

    ( , ) arg min .p

    p

    N

    m N

    J

    WD n k e

    (2.22)

    Minimizacijom izraza označenog sa J dobijamo:

    0J

    /22 /*

    /2

    2 Re{ ( ) ( ) } 0.p

    p

    p

    Nj mk N

    m N

    x n m x n m e

    (2.23)

    Kako je suma realnih djelova isto što i realni dio od sume, prethodni izraz zapisujemo kao:

    /22 /*

    /2

    Re ( ) ( ) 0,p

    p

    p

    Nj mk N

    m N

    x n m x n m e

    (2.24)

    odnosno,

  • Magistarska teza Branka Jokanović

    25

    /22 /*

    /2

    Re ( ) ( ) .p

    p

    p

    Nj mk N

    p

    m N

    x n m x n m e N

    (2.25)

    Standardna Wigner-ova distribucija se dobija kao:

    /22 /*

    /2

    1Re ( ) ( ) ( , ).

    p

    p

    p

    Nj mk N

    m Np

    x n m x n m e WD n kN

    (2.26)

    Nakon dobijanja standardne forme Wigner-ove distribucije, Ambiguity funkcija se

    računa kao:

    /2/22 /2 /

    /2 /2

    ( , ) ( , ) .p

    p

    p

    NNj kv Nj np N

    n N k N

    A p v WD n k e e

    (2.27)

    Zamjenjujući dobijeni izraz (2.26) u (2.27) dobijamo:

    /2 /2/22 / 2 /* 2 /

    /2 /2 /2

    1( , ) ( ) ( ) .

    p p

    p p

    p p

    N NNj mk N j kv Nj np N

    n N k N m Np

    A p v x n m x n m e e eN

    (2.28)

    Zamijenimo mjesta sumama kao i eksponencijalnim članovima:

    /2 /2 /22 / 2 /* 2 /

    /2 /2 /2

    1( , ) ( ) ( ) .

    p p

    p p

    p p

    N N Nj mk N j kv Nj np N

    m N k N n Np

    A p v x n m x n m e e eN

    (2.29)

    Uočava se da dio unutar velike zagrade odgovara direktnom računanju Ambiguity funkcije

    preko autokorelacione funkcije.

    Impulsni šum (median forma Wigner-ove distribucije i Ambiguity funkcije)

    Na sličan način kao u slučaju standardne forme, izvodi se rješenje za median formu.

    Rješenje optimizacionog problema za Wigner-ovu distribuciju kada je ( )F e e označićemo

    sa:

    /2

    /2

    ( , ) arg min ( ),p

    p

    N

    R

    m N

    WD n k F e

    (2.30)

    Odnosno važi:

  • Magistarska teza Branka Jokanović

    26

    /2

    /2

    ( , ) arg min .p

    p

    N

    R

    m N

    J

    WD n k e

    (2.31)

    Traženjem parcijalnog izvoda izraza J po µ i njegovim izjednačavanjem sa nulom dobijamo:

    /2

    2 /*

    /2

    ( ) ( ) 0.p

    p

    p

    Nj mk N

    m N

    sign x n m x n m e

    (2.32)

    Zamjenjivanjem funkcije znaka dobja se pogodniji izraz za µ:

    ( )x

    sign xx

    /2 2 /*

    2 /*/2

    ( ) ( )0,

    ( ) ( )

    p p

    p

    p

    N j mk N

    j mk Nm N

    x n m x n m e

    x n m x n m e

    (2.33)

    odnosno,

    /2 /2 2 /*

    2 / 2 /* */2 /2

    1 ( ) ( ).

    ( ) ( ) ( ) ( )

    p p p

    p p

    p p

    N N j mk N

    j mk N j mk Nm N m N

    x n m x n m e

    x n m x n m e x n m x n m e

    (2.34)

    Median forma Wigner-ove distribucije je:

    /2 2 /*

    /2 2 /*/2

    2 /*/2

    1 ( ) ( ),

    1 ( ) ( )

    ( ) ( )

    p p

    p p

    p

    p

    p

    N j mk N

    N j mk Nm N

    j mk Nm N

    x n m x n m e

    x n m x n m e

    x n m x n m e

    (2.35)

    ili drugačije zapisano:

    /2 2 /*

    /22 //2 *

    2 /*/2

    ( ) ( )( , ) .

    1( ) ( )

    ( ) ( )

    p p

    p

    pp

    p

    p

    N j mk N

    R Nj mk Nm N

    j mk Nm N

    x n m x n m eWD n k

    x n m x n m ex n m x n m e

    (2.36)

    Na osnovu dobijene Wigner-ove distribucije računamo Ambiguity funkciju koristeći:

    /2/22 /2 /

    /2 /2

    ( , ) ( , ) .p

    p

    p

    NNj kv Nj np N

    R

    n N k N

    A p v WD n k e e

    (2.37)

  • Magistarska teza Branka Jokanović

    27

    Median forma Ambiguity funkcije je:

    /2 /2 2 / 2 /* 2 //2

    /22 //2 /2 /2 *

    2 /*/2

    ( , )

    ( ) ( ).

    1( ) ( )

    ( ) ( )

    p p p p

    p

    pp p

    p

    p

    N N j mk N j kv Nj np NN

    Nj mk Nn N k N m N

    j mk Nm N

    A p v

    x n m x n m e e e

    x n m x n m ex n m x n m e

    (2.38)

    Uz zamjenu mjesta sumama kao i eksponencijalnim članovima dobijamo:

    /2 /22 / 2 /

    /2 /2

    * 2 //2

    /22 //2 *

    2 /*/2

    ( , ) ( , , ) ,

    ( ) ( )za ( , , ) .

    1( ) ( )

    ( ) ( )

    p p

    p p

    p p

    p

    p

    p

    p

    N Nj mk N j kv N

    m N k N

    j np NN

    Nj mk Nn N

    j mk Nm N

    A p v D n m p e e

    x n m x n m eD n m p

    x n m x n m ex n m x n m e

    (2.39)

    2.4 Median forma Ambiguity funkcije

    Postupak traženja median forme Ambiguity funkcije na osnovu Wigner-ove

    distribucije je računski zahtjevan. U nastavku ćemo objasniti postupak traženja median forme

    Ambiguity funkcije direktno u ambiguity domenu. Tražimo rješenje optimizacionog

    problema definisanog na sljedeći način:

    /2

    /2

    ( , ) arg min ( ).N

    R

    n N

    A p m F e

    (2.40)

    Zapisujući funkciju gubitaka kao ( )F e e gdje je e:

    * 2 /( ) ( ) ,j np Ne x n m x n m e (2.41)

    (2.40) postaje

  • Magistarska teza Branka Jokanović

    28

    /2

    /2

    ( , ) arg min .N

    R

    n N

    J

    A p m e

    (2.42)

    Rješavanje optimizacionog problema uključuje sljedeće korake:

    0J

    /2

    * 2 /

    /2

    ( ) ( ) 0,N

    j np N

    n N

    sign x n m x n m e

    (2.43)

    * 2 //2

    * 2 //2

    ( ) ( )0.

    ( ) ( )

    j np NN

    j np Nn N

    x n m x n m e

    x n m x n m e

    (2.44)

    Na osnovu:

    * 2 //2 /2

    * 2 / * 2 //2 /2

    1 ( ) ( ),

    ( ) ( ) ( ) ( )

    j np NN N

    j np N j np Nn N n N

    x n m x n m e

    x n m x n m e x n m x n m e

    (2.45)

    dobijamo rješenje optimizacionog problema kao:

    * 2 //2

    /2 * 2 //2

    * 2 //2

    1 ( ) ( ).

    1 ( ) ( )

    ( ) ( )

    j np NN

    N j np Nn N

    j np Nn N

    x n m x n m e

    x n m x n m e

    x n m x n m e

    (2.46)

    Odnosno, median forma Ambiguity funkcije dobijena direktnim računanjem u ambiguity

    domenu je:

    * 2 //2

    /2* 2 //2

    * 2 //2

    ( ) ( )( , ) .

    1( ) ( )

    ( ) ( )

    j np NN

    R Nj np Nn N

    j np Nn N

    x n m x n m eA p m

    x n m x n m ex n m x n m e

    (2.47)

    2.5 Forma Ambiguity funkcije u prisustvu kombinovanog Gauss-

    ovog i impulsnog šuma (robusna forma)

    Forma filtra kada je signal zahvaćen kombinacijom Gauss-ovog i impulsnog šuma

    (poznat i kao mješoviti šum) se može izvesti ako se zna funkcija gustine vjerovatnoće takvog

  • Magistarska teza Branka Jokanović

    29

    šuma. Međutim, u praksi je teško odrediti funkciju gustine vjerovatnoće kombinacije Gauss-

    ovog i impulsnog šuma. Kako je Huber-ov estimacioni pristup osjetljiv na formu funkcije

    gustine vjerovatnoće, umjesto njega koriste se L-filtri.

    Izlaz L-filtra za ulazni signal f(n) se definiše kao:

    1

    ( ) ( ),N

    i i

    i

    x n a f n

    (2.48)

    gdje su koeficijenti ia takvi da zadovoljavaju sljedeće uslove:

    11,

    .

    N

    i

    i

    i N i

    a

    a a

    (2.49)

    Prvi uslov se odnosi na očuvanje energije, dok drugi omogućava da su jednaki

    koeficijenti koji se množe sa elementima simetričnim u odnosu na medijan.

    Najčešće se koristi trimovana forma L-filtra koja iz sortirane sekvence bira

    nekoliko vrijednosti oko mediana (simetrično) i usrednjava ih, a ostale množi sa nulom.

    Izraz za robusnu Ambiguity funkciju u trimovanoj formi L-filtra je:

    /2 1

    /2

    , , ,N

    L i i i

    i N

    A p m a r p m j i p m

    gdje su ,ir p m i ,ii p m elementi sortiranih nizova ,R p m i ,I p m . ,R p m sadrži

    realne djelove* 2 /( ) ( ) j np Nx n m x n m e , a ,I p m imaginarne:

    * 2 /

    * 2 /

    , , , , Re ( ) ( ) , / 2, / 2 ,

    , , , , Im ( ) ( ) , / 2, / 2 .

    j np N

    i

    j np N

    i

    r p m R p m R p m x n m x n m e n N N

    i p m I p m I p m x n m x n m e n N N

    Koeficijenti ia se mogu definisati kao:

    1, za 2 , 2 1 ,

    1 2 4

    0, ostalo.

    i

    i N N NNa

    (2.50)

  • Magistarska teza Branka Jokanović

    30

    Za 0 dobija se standardna Ambiguity funkcija, a za 0.5 median forma Ambiguity

    funkcije.

    2.6 Primjeri

    Primjer 1

    Posmatrajmo signal 32cos(2 )( ) j nx n e zahvaćen Gauss-ovim, impulsnim i mješovitim

    šumom. Na Slici 2.3 date su standardne, median i L forme Ambiguity funkcije dobijene

    direktnim računanjem u ambiguity domenu. Uzeto je da prozor autokorelacione funkcije ima

    128 odbiraka, dok je ukupna dužina signala 256 odbiraka.

    a)

    b) c) d)

  • Magistarska teza Branka Jokanović

    31

    e) f) g)

    h) i) j)

    Slika 2.3 a) Ambiguity funkcija signala b) Standardna Ambiguity funkcija signala sa Gauss-

    ovim šumom, c) Median forma Ambiguity funkcije signala sa Gauss-ovim šumom, d) L-

    forma Ambiguity funkcije signala sa Gauss-ovim šumom, e) Standardna Ambiguity funkcija

    signala sa impulsnim šumom f) Median forma Ambiguity funkcije signala sa impulsnim

    šumom, g) L-forma Ambiguity funkcije signala sa impulsnim šumom, h) Standardna

    Ambiguity funkcija signala sa mješovitim šumom, i) Median forma Ambiguity funkcije

    signala sa mješovitim šumom, j) L-forma Ambiguity funkcije signala sa mješovitim šumom.

    Uočavamo da su rezultati dobijeni korišćenjem L forme optimalni za sve tri vrste šuma, dok

    standardne forme jedino daju dobre rezultate u prisustvu Gauss-ovog šuma.

    Primjer 2

    Signalu

    32cos(1.5 ) 20cos( )

    3( )j n j n

    x n e

    je dodat mješoviti šum. Na Slici 2.4 date su standardne,

    median i L forme Wigner-ove distribucije. Wigner-ove distribucije dobijene preko

    standardnih, median i L formi Ambiguity funkcije koje su računate direktno u ambiguity

    domenu su date na Slici 2.5. Prozor autokorelacione funkcije ima 101 odbirak, dok je ukupna

    dužina signala 800 odbiraka.

  • Magistarska teza Branka Jokanović

    32

    a) b) c)

    Slika 2.4 a) Standardna Wigner-ova distribucija zašumljenog signala, b) Median forma

    Wigner-ove distribucije signala sa mješovitim šumom, c) L forma Wigner-ove distribucije

    signala sa mješovitim šumom.

    a) b) c)

    Slika 2.5 Wigner-ova distribucija signala sa mješovitim šumom dobijena preko Ambiguity

    funkcije : a) Standardna forma, b) Median forma, c) L-forma

    Ovaj primjer ukazuje na prednost direktnog računanja estimacije ambiguity funkcije. S

    obzirom da se u našim primjerima analiza signala ne vrši u ambiguity domenu, već u

    vremensko-frekvencijskom domenu, koristeći Ambiguity funkciju dobili smo vremensko-

    frekvencijsko predstavljanje. Dakle, vremensko-frekvencijsko predstavljanje dobijeno na bazi

    robusne Ambiguity funkcije (L-forma) predstavlja optimalno rješenje za analizu signala

    zahvaćenih mješovitim šumom. Na Slici 1.4 su prikazana tri slučaja Wigner-ove distribucije

    dobijene standardnim računanjem, dok su na Slici 1.5 prikazane Wigner-ove distribucije

  • Magistarska teza Branka Jokanović

    33

    dobijene preko tri forme Ambiguity funkcije. Pokazuje se da je L-forma optimalno rješenje za

    analizu signala zahvaćenog mješovitim šumom.

  • Magistarska teza Branka Jokanović

    34

    Equation Chapter (Next) Section 1

    Glava 3

    3 Modifikovana forma Cohen – ove klase distribucija

    pogodna za hardversku realizaciju i njena

    implementacija

    Za redukciju kros članova prisutnih kod Wigner-ove distribucije koristi se Cohen-ova

    klasa distribucija. Njoj pripada značajan broj kvadratnih distribucija. Svaka distribucija je

    određena funkcijom jezgra preko koje se filtriranjem u ambiguity domenu vrši redukcija kros

    članova. Postoje različiti oblici funkcije jezgra. Neke od najčešće korišćenih funkcija jezgra

    su date u Tabeli 3.1, dok je njihova ilustracija prikazana na Slici 3.1.

    Tabela 3.1 Najčešće korišćena jezgra

    Jezgro Matematički oblik

    Choi-Williams 2 2

    ( , ) , 0c e

    Born-Jordan sin( / 2)

    ( , )/ 2

    c

    Gauss 2 2

    1 2

    ( )2 2

    ( , )c e

    Radial Gauss 2 2

    2 (arctan( / ))( , )c e

    a) b)

  • Magistarska teza Branka Jokanović

    35

    c) d)

    Slika 3.1 Jezgra iz Cohen-ove klase distribucija: a) Choi-Williams, b) Born-Jordan, c)

    Gauss, d) Radial Gauss

    Može se uočiti da je većina funkcija jezgra zasnovana na eksponencijalnoj funkciji.

    Diskretna forma Cohen-ove klase distribucija se definiše kao:

    2( )1 2 1

    *

    1 2 1

    ( , ) 2 ( , ) ( ) ( ) ,

    Nj pu pn kmN N N

    N N p

    p N m N u N

    CD n k c p m x u m x u m e

    (3.1)

    gdje je 12 1N N i 22 1pN N . Postupak računanja distribucije iz Cohen-ove klase se može

    opisati na sljedeći način:

    1. Izračuna se auto-korelaciona funkcija,

    2. Računanje Ambiguity funkcije i funkcije jezgra,

    3. Filtrira se Ambiguity funkcija koristeći funkciju jezgra,

    4. Izvrši se 2D inverzna Fourier-ova transformacija.

    Kao generalizacija hardverskih rješenja za vremensko –frekvencijske distribucije,

    predložena je modifikovana forma Cohen-ove klase pogodna za hardversko rješenje. Ova

    forma omogućava hardversku implementaciiu distribucija iz Cohen-ove klase zasnovanih na

    eksponencijalnim i sinusnim jezgrima. Data forma, dovođenjem odgovarajućih kontrolnih

    signala, takođe omogućava i realizaciju spektrograma i Wigner-ove distribucije.

  • Magistarska teza Branka Jokanović

    36

    3.1 Modifikovana forma Cohen-ove klase

    Distribucije iz Cohen-ove klase su računski kompeksne. Razlog su veliki broj

    operacija koje je potrebno izvesti u cilju dobijanja vremensko-frekvencijske distribucije.

    Realizacija jedne distribucije podrazumijeva računanje Ambiguity funkcije i određene

    funkcije jezgra sa odgovarajućim parametrima. Naglasimo da distribucije koje se najčešće

    koriste imaju eksponencijalni ili sinusni oblik jezgra. Primjer su Choi-Williams-ova i Born-

    Jordan-ova distribucija. One su našle značajnu primjenu u analizi biomedicinskih signala . U

    cilju generalizacije Cohen-ove klase distribucija zasnovanih na eksponencijalnim i sinusnim

    jezgrima uvešćemo formu koja je pogodna za hardversku realizaciju.

    Modifikovana forma Cohen-ove klase distribucija zasnovanih na eksponencijalnim

    jezgrima se može definisati kao:

    2 2/2 11 2

    /2 ,01 2

    ( , ) 2 (1 ( , )) ( ) ( , ) ,

    j pn j kmN N M N N pM p m

    p N m N i

    CD n k p m c i A p m e

    (3.2)

    gdje , ( )p mc i i /2 ( , )M p m (za datu tačku (p,m)) predstavljaju konstante koje se računaju u

    iterativnoj proceduri. Za jezgra koja su zasnovana na sinusnoj funkciji, predložena forma

    (3.2) se može modifikovati na sljedeći način:

    /2 12 2

    ,1 20

    /21 2

    ( )

    ( , ) 2 Im((1 ( , )) ) ( , )( , )

    M

    j pn j kmN N p mN N pi

    Mp N m N

    c i

    CD n k j p m A p m ep m

    (3.3)

    Za ( , ) /2p m pm dobija se Born – Jordan-ovo jezgro.

    U cilju optimalne hardverske realizacije konstante se definišu kao [27], [28]:

    ,

    1 ( )2 , ( ) 0,1 , za eksponencijalnu funkciju,( )

    1 ( )2 , ( ) 1,1 ,za sinusnu funkciju.

    i

    p m i

    a i a ic i

    jb i b i

    (3.4)

    Vrijednosti a(i) (ili b(i) za sinusnu funkciju) se računaju kroz M/2 iteracija gdje je M

    broj bita koji definiše preciznost. Niz binarnih vrijednosti a(i) (ili b(i) za sinusnu funkciju)

    određuje /2 1

    ,0

    ( )M

    p mi

    c i

    . Dakle, postoji 2M/2

    konstanti. U cilju optimizacije hardverskih resursa,

    konstante su izračunate za sve binarne kombinacije a: {a(i), i[0,M/2-1]} (ili za b:{b(i),

  • Magistarska teza Branka Jokanović

    37

    i[0,M/2-1]}) i sačuvane u memoriji. Niz a (ili b) je ulaz memorije i određuje koja će se

    adresa pročitati. Ilustracija koja prikazuje kako su konstante određene nizom a je data na Slici

    3.2.

    0 1 ( /2 2) ( /2 1)

    0 1 ( /2 2) ( /2 1)

    0 1 ( /2 2) ( /2 1)

    konstante u memoriji

    (1 0 2 )(1 0 2 )...(1 0 2 )(1 0 2 )00...00

    00...01 (1 0 2 )(1 0 2 )...(1 0 2 )(1 1 2 )

    11...11(1 1 2 )(1 1 2 )...(1 1 2 )(1 1 2 )

    M M

    M M

    M M

    a

    Slika 3.2 Konstante u memoriji na čiji ulaz dolazi adresa a

    Vrijednost /2 ( , )M p m se dobija nakon M/2 koraka u iterativnoj proceduri. Algoritam

    iterativne procedure je:

    Korak 1:

    0( , ) ( , ),p m p m

    Korak 2:

    1, ( , ) ln(1 2 ) 0,( )

    0, ,

    iiif p ma i

    otherwise

    1, ( , ) 0,( )

    1, ,

    iif p mb i

    otherwise

    Korak 3:

    1( , ) ( , ) ln(1 ( )2 ), za eksponencijalnu funkciju,i

    i ip m p m a i

    11( , ) ( , ) ( ) tan (2 ),za sinusnu funkciju.

    ii ip m p m b i

    Korak 4:

    Ako je 12

    Mi procedura je završena, u suprotnom treba preći na Korak 2.

    Uočimo da je /2 ( , )M p m dobijeno u Koraku 3 za zadnju iteraciju 1

    2

    Mi .

  • Magistarska teza Branka Jokanović

    38

    Koristeći datu proceduru, maksimalna i minimalna vrijednost argumenta ( , )p m su

    /2 1

    0

    ln(1 2 )M

    i

    i

    i 0, respektivno. Za M/2≥10 izraz /2 1

    0

    ln(1 2 )M

    i

    i

    konvergira ka 1.56,

    tako da je argument eksponencijalne funkcije ograničen na opsegu [0,1.56]. Međutim, pošto

    argument funkcije jezgra ( , )p m može imati proizvoljnu vrijednost, ograničenost opsega se

    može prevazići zapisivanjem ( , )p m na sljedeći način:

    ( , ) ( ) ln 2,p m I F (3.5)

    gdje je I cjelobrojni dio, dok je F frakcioni dio izraza 2( , )logp m e ,(0≤F

  • Magistarska teza Branka Jokanović

    39

    DA

    NE

    NE

    START

    ( , ), , 1p m M skala

    ( , ) ( , ) ( )1 p m p m ii i

    STOP

    ( , ) ( )p m ii

    00, ( , ) ( , )i p m p m

    ln(1 2 ), za eksponencijalnu funkciju,( )

    0, za sinusnu funkciju,

    1, za eksponencijalnu i sinusnu funkciju,1

    0, za eksponencijalnu funkciju,2

    1, za sinusnu funkciju,

    ln(1 ( )2 ), za( )

    ii

    s

    s

    is ii

    eksponencijalnu funkciju,

    1( ) tan (2 ), za sinusnu funkciju.is i

    1( )s i s

    2( )s i s

    12

    Mi

    1i i

    ( , )result skala c p m

    Blok za

    skaliranje

    ( , ) ( , ) log ln 22

    ( , ) log2

    2

    ( , ) ln 2

    p m p m e

    I F p m e

    Iskala

    p m F

    DA

    ROM

    22( , ) _ (1 ( , ))/2

    j sc p m mem out e p mM

    mem_out( , )/2 p mM

    s[0..M/2-1]

    Blok za

    skaliranje(samo za

    eksponencijalnu

    funkciju)

    Slika 3.3 Unificirana procedura za računanje jezgra baziranog na eksponencijalnoj i sinusnoj

    funkciji

    Dokaz:

    a) Eksponencijalna funkcija:

    Posmatrajmo slučaj eksponencijalne funkcije sa pozitivnim argumentum ( , )p m koji

    je skaliran na opseg [0, 0.69). Cilj je napisati vrijednost argumenta ( , )p m koristeći M

    pozitivnih brojeva koji konvergiraju ka nuli:

    1 1( , ),... ( , ), ( , ),..., ( , ) 0i i Mp m p m p m p m

    Počevši od 0( , ) ( , )p m p m i koristeći relaciju [27]:

    1 ,( , ) ( , ) ln ( ),i i p mp m p m c i (3.7)

  • Magistarska teza Branka Jokanović

    40

    dobija se sljedeći sistem jednačina:

    ( , ) ( , )0 1,

    ( , ) ( , )1 2,

    ( , ) ( , )1,

    (0) ,

    (1) ,

    ...

    ( 1) .

    p m p mp m

    p m p mp m

    p m p mM Mp m

    e c e

    e c e

    e c M e

    (3.8)

    Konstante , ( )p mc i su date u formi (3.4) koja je pogodna za hardversku realizaciju.

    Kako je 0 ( , ) ( , )p m p m i ( , ) 0M p m , eksponencijalna funkcija se dobija kao:

    1

    ( , )( , ), , ,

    0

    (0)... ( 1) ( ).M

    p mp m Mp m p m p m

    i

    e c c M e c i

    (3.9)

    Moguće je smanjiti broj operacija potrebnih za računanje eksponencijalne funkcije

    koristeći dio proizvoda konstanti kao u (3.2). Naime, računamo proizvod M/2 konstanti

    koristeći (3.9), dok je za preostalih M/2 konstanti korišćena sljedeća aproksimacija:

    ln(1 2 ) 2 .i i (3.10)

    Dakle, pri računanju a(i) u Koraku 2 iterativne procedure, važi:

    1,ako je ( , ) 2 0

    ( )0,u suprotnom.

    ii p ma i

    (3.11)

    To znači da su elementi a(i) direktno dobijeni iz vrijednosti i-og bita frakcionog dijela u

    ( , )i p m . Naime, a(i) ima vrijednost '1' ako je i-ti bit ( , )i p m jednak '1', i suprotno. Odnosno,

    ne moramo računati a(i) za / 2i M , jer su već sadržani u /2 ( , )M p m . Dakle, umjesto M/2

    množenja imamo:

    1( 1)

    /2

    /2

    (1 ( )2 ) 1 ( )2 ( 1)2 ...

    1 ( , ).

    Mi i i

    i M

    M

    a i a i a i

    p m

    (3.12)

    Proizvod prvih M/2 konstanti se koristi iz memorije koja ima /22M elemenata, dok je

    proizvod preostalih konstanti aproksimiran sa jednim sabiranjem, što je optimalnije za

    hardversku realizaciju.

  • Magistarska teza Branka Jokanović

    41

    b) Sinusna funkcija:

    Slična procedura se može koristiti i za jezgra zasnovana na sinusnoj funkciji. Umjesto

    (3.7) koristimo:

    ,1

    1,

    Im{ ( )}( , ) ( , ) tan .

    Re{ ( )}

    p mi i

    p m

    c ip m p m

    c i (3.13)

    Odgovarajući sistem jednačina je:

    ( , ) arg( (0))( , ) 1 ,0

    ( , ) arg( (1))( , ) 2 ,1

    ( , ) arg( ( 1))( , ) ,1

    ,

    ,

    ...

    .

    j p m j cj p m p m

    j p m j cj p m p m

    j p m j c Mj p m M p mM

    e e

    e e

    e e

    (3.14)

    Uvrštavanjem izraza (3.13) u svaku jednačinu dobijamo:

    1 arg( ( ))( , ) ,0

    0

    M j c ij p m p m

    i

    e e

    (3.15)

    odnosno, za sinusnu funkciju važi:

    1

    , 10

    ,10

    ,0

    ( )1

    sin( ( , )) Im Im ( ) .1.6468

    ( )

    M

    p m Mi

    p mMi

    p mi

    c i

    p m c i

    c i

    Za M>10 i ( ) 1,1b i korišćena je sljedeća aproksimacija:

    1 12

    ,0 0

    ( ) 1 ( 2 ) 1.6468M M

    ip m i

    i i

    c i b

    .

    Slično kao u slučaju eksponencijalne funkcije moguće je smanjenje broja operacija.

    Naime, računa se , ( )p mc i za iM/2 (uzmimo da važi M/2>10 i /2 1

    2

    0

    1 ( 2 ) 1.6468M

    ii

    i

    b

    ). Preostali

    dio se dobija kao:

    1( 1)

    /2

    /2

    (1 ( )2 ) 1 ( )2 ( 1)2 ...

    1 ( , ).

    Mi i i

    i M

    M

    jb i jb i jb i

    j p m

    (3.16)

  • Magistarska teza Branka Jokanović

    42

    gdje b(i) uzima vrijednosti ( ) 0,1b i .

    3.1.1 Procedura računanja eksponencijalne funkcije za negativne

    argumente

    U definisanoj proceduri za računanje eksponencijalne funkcije posmatrali smo

    isključivo pozitivne argumente ( , )p m . Računanje eksponencijalne funkcije i za negativne

    argumente ( , ) (gdje je ( , ) 0)p m p m je moguće izvesti na jedan od dva načina:

    Provjeri se da li je argument pozitivan ili negativan. Računa se vrijednost

    eksponencijalne funkcije za absolutnu vrijednost argumenta. Na izlazu kola, ako je

    argument pozitivan ostavlja se rezultat ( , )p m

    e

    . U suprotnom, računa se recipročna

    vrijednost ( , )

    1p m

    e

    .

    Procedura se može proširiti i za negativne argumente tako što se izmjene uslovi u

    Koraku 2 preko kojih se dobijaju elementi a(i). U tom slučaju elemenat a(i) može

    uzimati jednu od tri vrijednosti {-1,0,1}, za razliku od situacije kada se javljaju samo

    pozitivni argumenti gdje a(i) uzima vrijednost 0 ili 1.

    Međutim, moguće je i na sljedeći način računati eksponencijalnu funkcije i za

    pozitivne i negativne argumente bez ispitivanja znaka argumenta ili mijenjanja uslova.

    Naime, dovoljno je promjeniti način dobijanja cjelobrojnog dijela I i frakcionog dijela F u

    (3.5). Za pozitivni broj cjelobrojni dio je računat odsijecanjem frakcionog dijela, odnosno vrši

    se zaokruživanje na manji broj. Na primjer za broj 2.88, I=2 i F=0.88. Kod negativnog broja,

    cjelobrojni dio se zaokružuje na veći broj (za -2.88, I=-2 i F=-0.88).

    Predloženi način računanja eksponencijalne funkcije podrazumijeva zaokruživanje i

    pozitivnih i negativnih brojeva na manji broj, odnosno I i F se definišu kao:

    2( , ) log ,I p m e (3.17)

    2 2( , ) log ( , ) log .F p m e p m e (3.18)

  • Magistarska teza Branka Jokanović

    43

    Dokažimo da ovakav način formiranja I i F može da se koristi za pozitivne i negativne

    argumente. U slučaju pozitivnih argumenata, (3.17) i (3.18) daju iste rezultate kao i prije

    modifikacije. Za negativne argumente, tačnost relacija ćemo pokazati računanjem izraza

    ( , )( , ) , (gdje je ( , ) 0)p mp m p

    pe e p m

    na dva načina. Prvi, standardnim računanjem

    recipročne vrijednosti ( , )

    1p mpe

    , a drugi modifikovanim definisanjem I i F.

    Neka su I1 i F1 definisani kao u (3.5), dok su I2 i F2 definisani preko (3.17) i (3.18).

    Računanjem recipročne vrijednosti dobijamo:

    ( , ) ( )ln 2( , ) 1 1

    ln 21 1

    1.

    2

    p m I Fp m p

    I Fe e e

    e

    (3.19)

    Posmatrajmo drugi način dobijanja eksponencijalne funkcije preko modifikovanih relacija za

    I i F. Važi sljedeće:

    ( ) ln 2 ln 2( , ) 2 2 2 22 .I F I Fp me e e (3.20)

    Za negativne brojeve znamo da je I2 negativno, pa prethodni izraz postaje:

    ln 22

    ( , )2p 2

    2, gdje je = .

    2

    Fp m

    I p

    ee I I (3.21)

    S obzirom da je I2 nastalo zaokruživanjem na manji negativni broj, onda važi:

    2 1 1 21 i 1 .pI I F F (3.22)

    Zamjenjujući (3.22) u (3.21) dobija se:

    (1 ) ln 21

    ( , )

    11,

    2

    Fp m

    I

    ee

    (3.23)

    odnosno

    ln 2 ln 2ln 2 1 1

    ( , )

    1 1

    2.

    2 2 2 2

    F Fp m

    I I

    e e ee

    (3.24)

    Sređivanjem (3.24) dobijamo isti izraz kao u (3.19), što dokazuje tačnost predložene formule.

  • Magistarska teza Branka Jokanović

    44

    3.2 Hardverska realizacija Cohen-ove klase distribucija

    U ovom poglavlju je razmatrana hardverska realizacija Cohen-ove klase distribucija,

    bazirana na predloženoj formi (3.2). Na Slici 3.4 i Slici 3.5 date su blok šeme za serijsku i

    paralelnu konfiguraciju. Auto-korelaciona funkcija ( , )R n m ulaznog kompleksnog signala se

    dobija na izlazu Bloka 1 (za obje konfiguracije). Fourier-ova transformacija auto-korelacione

    funkcije se obavlja u Bloku 2. Ambiguity funkcija ( , )A p m dobijena na izlazu Bloka 2 se

    množi sa funkcijom jezgra (Blok 3). Vremensko-frekvencijska distribucija je dobijena na

    izlazu Bloka 4.

    Slika 3.4 Serijska konfiguracija za realizaciju Cohen-ove klase distribucija

    Slika 3.5 Paralelna konfiguracija za realizaciju Cohen-ove klase distribucija

    3.3 Hardver za Ambiguity funkciju

    Realni i imaginarni dio kompleksnog signala x(n) su na ulazu sistema. Računanje

    lokalne auto-korelacione funkcije u trenutku n podrazumijeva množenje x(n+m) i x*(n-m),

    m[-N2,N2]. Auto–korelaciona funkcija se može zapisati u matričnoj formi na sljedeći način:

  • Magistarska teza Branka Jokanović

    45

    * * *

    * * *

    *

    (1) ( ) (2) ( 1) ( ) (1)

    (2) ( 1) (3) ( ) ( 1) (2)

    ( 1) ( ) (

    x x Np x x Np x Np x

    x x Np x x Np x Np x

    x N Np x N x N Np

    R

    * *

    .

    2) ( 1) ( ) ( 1)x N x N x N Np

    (3.25)

    U slučaju paralelne realizacije, broj operacija se može prepoloviti zbog činjenice da

    elementi R zadovoljavaju Hermitsku simetriju. Realni i imaginarni djelovi elemenata kolona

    matrice R su dobijeni na izlazu Bloka 1.

    Da bi se dobila ambiguity funkcija potrebno je naći Fourier-ovu transformaciju

    kolona matrice R. Brza Fourier-ova transformacija se obavlja u Bloku 2 na čijem izlazu se

    dobijaju realni i imaginarni dio Ambiguity funkcije.

    3.4 Hardverska realizacija funkcije jezgra

    Kao što je naglašeno, predložena forma Cohen-ove klase distribucija sadrži generalnu

    formu jezgara baziranih na eksponencijalnoj ili sinusnoj funkciji. Na samom početku dat je

    kratak opis originalne forme Cohen-ove klase distribucija. Takođe su dati razlozi zbog kojih

    su takve realizacije neoptimalne za hardversku realizaciju.

    Razmotrićemo nekolike metode za realizaciju funkcije jezgra među kojima su:

    Lookup Tables (LUTs), razvoj u Taylor-ov red i polinomijalne aproksimacije. LUTs metod je

    pogodan ako želimo da sačuvamo vrijednosti određene funkcije jezgra sa fiksnim oblikom i

    parametrima. Međutim, za različite tipove signala potrebno je naći ne samo optimalan tip

    jezgra, već i optimalne parametre. Iz tog razloga hardver za Cohen-ovu klasu bi zahtjevao

    veliki broj memorijskih jedinica. Za svaku vrijednost varijanse jezgra, potrebno je u memoriji

    smjestiti po jednu matricu. U razmatranoj FPGA tehnologiji, kod koje jedna memorijska

    jedinica ima 65536 elemenata, moglo bi se smjestiti samo četiri matrice veličine 128x128.

    Takođe, specijalno kolo kontrolne logike bilo bi potrebno za pretraživanje memorije, što

    dodatno komplikuje dizajn sistema. Ovo su razlozi zbog kojih treba pronaći optimalnije

    rješenje za realizaciju funkcije jezgra.

  • Magistarska teza Branka Jokanović

    46

    Drugi mogući način realizacije funkcije jezgra bio bi korišćenje razvoja u Taylor-ov

    red. Eksponencijalna funkcija se može razviti u Taylor-ov red na sljedeći način:

    ( , )

    2

    0

    ( , ) ( , )1 ( , ) ...,

    ! 2!

    p m

    i

    i

    p m p me p m

    i

    (3.26)

    dok je razvoj sinusne funkcije u Taylor-ov red dat sa:

    2 1 3

    0

    ( 1) ( , ) ( , )sin( ( , )) ( , ) ...

    (2 1)! 3!

    i i

    i

    p m p mp m p m

    i

    (3.27)

    Koristeći prva četiri člana iz razvoja, zadovoljavajuća tačnost je dobijena za argument

    u opsegu (-1,1). Kao što se i vidi sa Slike 3.6, razvoj sa četiri člana je jednostavno realizovati.

    Ako je argument ψ(p,m) van ovog opsega, Taylor-ov red sporije konvergira, što znači da

    treba uključiti članove višeg reda. Uključivanje članova višeg reda povećava broj operacija,

    pa samim tim i vrijeme računanja. Na primjer, za argument eksponencijalne funkcije

    ψ(p,m)=2, korišćenje četiri člana iz razvoja daje rezultat eψ(p,m)

    = 6.3333, dok je tačan rezultat

    7.3891. Da bi dobili tačan rezultat potrebno nam je 11 članova iz razvoja, odnosno 10

    sabirača i 9 množača. Svaki od ovih jedinica unosi značajno kašnjenje koje želimo da

    izbjegnemo. Algoritam realizacije u aritmetici sa pomičnim zarezom sa L članova Taylor-

    ovog reda je dat na Slici 3.7. Algoritam se može direktno implementirati u VHDL kodu. Zbog

    jednostavnosti uzeto je da je argument ψ(p,m) pozitivan. Argument je prvo skaliran tako da

    važi ψ(p,m)

  • Magistarska teza Branka Jokanović

    47

    a) b)

    Slika 3.6 Gauss-ovo jezgro a) Originalni oblik b) Cjelobrojna realizacija

    Slika 3.7 Realizacija Taylor-ovog reda sa četiri člana razvoja

  • Magistarska teza Branka Jokanović

    48

    DA

    DA

    NE

    NE

    s=s*e10

    s=s*e

    n

  • Magistarska teza Branka Jokanović

    49

    samo jedna memorija sa unaprijed izračunatim konstantama (za paralelnu konfiguraciju

    postoji memorija sa mogućnošću istovremenog čitanja sa više adresa – memorija sa više ulaza

    i izlaza).

    Slika 3.9 Blok šema realizacije funkcije jezgra

    3.5 Hardver za inverznu 2D Fourier-ovu transformaciju

    Nakon računanja proizvoda funkcije jezgra i Ambiguity funkcije, potrebno je

    realizovati inverznu 2D brzu Fourier-ovu transformaciju (2D FT). Ovo je urađeno u Bloku 4.

    Operacija inverzne 2D FT se može zapisati kao kompozicija inverznih 1D brzih Fourier-ovih

    transformacija:

    ( ) ( ( ) ) ,T TB B2IFFT IFFT IFFT (3.28)

    gdje B predstavlja proizvoljnu matricu. U našem slučaju, matrica B je proizvod funkcije

    jezgra i Ambiguity funkcije. Algoritam za računanje inverzne 2D FT se može opisati

    sljedećim koracima:

    1. svaka vrsta matrice B je ulaz IFFT bloka koji obavlja inverznu Fourier-ovu

    transformaciju,

    2. rezultujući nizovi su vrste matrice koju treba transponovati,

    3. računanje inverzne Fourier-ove transformacije za sve vrste transponovane matrice.

    Nakon prvog koraka iz navedenog algoritma, dobija se matrica dimenzija (N-Np+1)xNp

    koja se smješta u RAM (random access memory). Sljedeći korak je transponovanje matrice.

    Ovo je jednostavno urađeno preko brojača koji generiše adrese za čitanje memorije. Naime,

    adrese za čitanje memorije su takve da se iščitavaju kolone matrice, odnosno na izlazu RAM-

    a dobijamo elemente u sljedećem redosljedu: 1, Np+1, 2Np+1…, 2, Np+2, 2Np+2…

  • Magistarska teza Branka Jokanović

    50

    procedura traje sve dok se ne iščita zadnja kolona. Treba napomenuti da je za paralelnu

    realizaciju svakoj vrsti matrice B dodijeljen jedan IFFT blok. Da bi se rezultujući nizovi

    smjestili u memoriju, potrebni su multiplekseri. Nakon smještanja matrice u RAM, drugi i

    treći korak su identično realizovani i za serijsku i za paralelnu konfiguraciju (Slika 3.10). U

    slučaju paralelne realizacije, postoje efikasniji metodi transponovanja matrice koje smanjuju

    kašnjenje i iskorišćenost memorije.

    Slika 3.10 Blok šema dijela sistema koji obavlja inverznu 2D Fourier-ovu transformaciju

    3.6 FPGA implementacija Cohen-ove klase distribucija

    Postoje različite platforme na kojima bi se mogla zasnivati hardverska realizacija

    Cohen-ove klase distribucija. Digital signal procesor (DSP) predstavlja mikroprocesor za

    digitalnu o