70
KTH Information and Communication Technology Design, Fabrication and Characterization of Planar Lightwave Circuits Based on Silicon Nanowire Platform NING ZHU Doctoral Thesis in Microelectronics and Applied Physics Stockholm, Sweden 2009

Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

KTH Information and

Communication Technology

Design, Fabrication and Characterization

of Planar Lightwave Circuits Based on

Silicon Nanowire Platform

NING ZHU

Doctoral Thesis in Microelectronics and Applied Physics Stockholm, Sweden 2009

Page 2: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

Akademisk avhandling som med tillstånd av Kungliga Tekniska Högskolan framlägges till offentlig granskning för avläggande av teknologie doktorsexamen fredagen den 12 juni 2009 klokan 10:00 i sal C1, Electrum 1, Kungliga Tekniska Högskolan, Isafjordsgatan 26, Kista, Stockholm.

©Ning Zhu, April, 2009 Tryck: Universitetsservice US AB

TRITA-ICT/MAP AVH Report 2009:5 ISSN 1653-7610 ISRN KTH/ICT-MAP/AVH-2009:5-SE

KTH school of Information andCommunication Technology

S-164 40 KistaSWEDEN

Page 3: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

Abstract Optical devices based on Planar Lightwave Circuit (PLC) technology have well

been studied due to their inherited advantages from Integrated Circuits (IC), such as: small size, high reliability, mass production and potential integration with microelectronics. Among all the materials, silicon nanowire platform gains more and more interest. The large refractive index difference between core and cladding allows tremendous reduction of the component size. This thesis studies theoretically and experimentally some integrated optical devices based on silicon nanophotonic platform, including echelle grating demultiplexers and photonic crystals.

Some of the numerical methods are introduced first. Scalar integral diffraction method is efficient for calculating the diffraction efficiency of gratings. Beam propagation method and finite-difference time-domain method are also introduced, for simulating the light propagation along the devices.

The fabrication technology and characterization methods are described. The fabrication steps involve: plasma assisted film deposition, E-beam lithography, RIE-etching. All these steps are proceeded under cleanroom environment. The characterization is mainly based on two methods: end-fire coupling and vertical grating coupling. The grating coupler is more efficient compared with the butt-coupling between fiber and nanowires, but is worse solution for final packaging.

Two types of components have been realized and characterized with the above technology. The echelle grating demultiplexer is one of the key components in WDM networks. A method for increasing the diffraction efficiency based on total internal reflection is applied, and a significant improvement of the diffraction efficiency of more than 3dB is achieved. A novel cross-order echelle grating-based triplexer, a bidirectional transceiver for application in the Passive Optical Networks (PON), has been designed and fabricated, which can multi/demultiplex three channels located at 1310nm, 1490nm and 1550nm. Polarization dependence issue of echelle grating demultiplexers has been studied. Two polarization compensation schemes have been proposed, which are for the first time polarization insensitive designs of echelle grating demultiplexers based on silicon nanowire platform.

Photonic crystal devices are also addressed in the thesis. There has been little research on the photonic crystal cavity based on pillar type. A silicon pillar type photonic crystal cavity has been fabricated with the measured Q value as high as about 104, and with an extremely high sensitivity for the changing of the background material or the effective diameter of the pillars. This kind of structure has the advantage on sensing applications compared to the air-hole type structure. Key words: Planar integrated circuit, silicon nanowire, beam propagation method, finite-difference time-domain, echelle grating demultiplexer, photonic crystal

Page 4: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits
Page 5: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

Acknowledgements First and foremost, I wish to thank Dr. Lech Wosinski, one of my supervisors, for his guidance and hand-in-hand help in my research work in KTH. It is his fully support and carefully guiding that makes many works done in this thesis become a lot easier. I would like to thank Prof. Sailing He, one of my supervisors, for accepting me as a PhD. Student, giving me constant guidance and encouragement through my entire study, and showing his concern for my life all the time. Especially I would express my thanks to Prof. Lars Thylen, who provided me this opportunity together with Prof. He to study in the department of Microelectronics and Applied Physics in KTH. I also want to thank other staff who work in the FMI group here, for their kindness and help to me. Thank Dr. Min Qiu for his discussion. Grateful thanks to Dr. Jun Song and Dr. Daoxin Dai. They gave me constant helps and suggestions in my research, and made great contributions to the works in this thesis. I’ve learned a lot about being a better researcher from them. Special thanks to Liu Liu, Matteo Dainese and Audrey Berrier, who taught me lots of skills and tricks in the fabrication and characterization. Thanks to Lena Wosinska, for the invitation to the dinners and parties for many times. Thanks to all my colleagues and friends here in Kista, Yaocheng Shi, Zhangwei Yu, Geng Yang, Jiajia Chen, Weiquan Mei, Xin Hu, Zhechao Wang, Yongbo Tang, Jing Wang, Wei Yan, Jie Tian, Xinggang Yu, Zhen Zhang, Zhenzhong Zhang, Zhili Lin, Shan Qin, Jinhua Yan, Yi Jin, Chunsheng Yan, Junfeng Bao, Zhichao Ruan, Yuntian Chen, Minhao Pu, Jintao Zhang, Youbin Zheng, Jun Luo…… Life would become quite boring without them. Finally, I would like to thank my parents, for their greatest love, understanding and encouragement, which accompany my study and life all these years. Needless to say, it is only with their support that I am able to go this far. Special thanks to Yanchan, who brings me a lot of joys during the time when I wrote this thesis.

Page 6: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits
Page 7: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

Contents Lists of publications 1 Acronyms 3 1 Introduction 5

1.1 Background 5 1.2 Objectives and Motivation 6 1.3 Research Summary and Main Achievements 7

1.2 Thesis Outline 8 2 Design and Simulation Methods 11

2.1 Introduction 11 2.2 Scalar Integral Diffraction Method 11 2.3 Beam Propagation Method 12 2.4 Finite-Difference Time-Domain Method 14 2.5 Perfectly Matched Layer Boundary Condition 16

3 Fabrication and Characterization 19 3.1 Introduction 19 3.2 Fabrication Procedures 20

3.2.1 Film Deposition 20 3.2.2 Pattern Generation 22 3.2.3 Pattern Transfer 26

3.3 Characterization Methods 27 3.3.1 Butt-coupling Method 27 3.3.2 Vertical-coupling Method 29 4 Some Fabricated Devices 33 4.1 Echelle Grating 33 4.1.1 Basic Principles 34 4.1.2 Improvement for Diffraction Efficiency 35 4.1.3 Echelle Grating based Triplexer 38 4.1.4 Polarization Dependence Issue 41 4.2 Photonic Crystals 47 4.2.1 Design 47 4.2.2 Fabrication and Characterization 50 5 Conclusion and Future Work 55 6 Guides to the papers 59

Page 8: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits
Page 9: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

1

List of publications included in this thesis:

Paper I. N. Zhu, D. Dai, and S. He, “A hybrid modeling for the theoretical analysis of reflections in a multimode-interference coupler based on silicon-on-insulator nanowires”, Opt. Comm. 281, 3099 (2008).

Paper II. N. Zhu, J. Song, L. Wosinski, and S. He, “Total internal reflection type echelle grating demultiplexer based on amorphous silicon nanowire platform”, SPIE Asia-Pacific Optical Communications (APOC) 2008, Oct. 26-31, 2008, Hangzhou, China.

Paper III. J. Song, N. Zhu, J.J. He, and S. He, “Etched diffraction grating demultiplexer with large free-spectral range and large grating facets”, Photon. Tech. Lett. 18, 2695 (2006).

Paper IV. N. Zhu, J. Song, L. Wosinski, S. He, and L. Thylen, “Experimental demonstration of a cross-order echelle grating triplexer based on amorphous silicon nanowire platform”, Optics Letters, 34(3), 383-385, 2009.

Paper V. L. Wosinski, L. Liu, N. Zhu and L. Thylen, “Technology challenges for monolithically integrated waveguide demultiplexers”, Chinese Optics Letters, Focus Issue on Silicon Photonics, 7(4), 2009.

Paper VI. N. Zhu, J. Song, L. Wosinski, and S. He, “Design of a polarization-insensitive echelle grating demultiplexer based on silicon nanophotonic wires”, Photon. Tech. Lett. 20, 860 (2008).

Paper VII. T. Xu, N. Zhu, M. Xu, H. Ruda, L. Wosinski, A pillar-array based 2-dimensional photonic crystal microcavity, submitted to Appl. Phys. Lett..

List of publications not included in this thesis, but related:

Paper VIII. N. Zhu, J. Song, and L. Shao, “Effects of shaded facets on the performance of metal-coated etched diffraction grating demultiplexer”, Opt. Comm. 266, 117 (2006).

Paper IX. J. Song, N. Zhu, J.J. He, and S. He, “Echelle grating demultiplexer with reduced return loss by using chirped diffraction order design”, Photon. Tech. Lett. 18, 1506 (2006).

Paper X. N. Zhu, J. Song, L. Wosinski, and S. He, “Etched Diffraction Grating Demultiplexers Based on Amorphous Silicon Nanowire Platform”, OSA Asia Optical Fiber Communication & Optoelectronic Exposition & Conference (AOE), Oct. 31-Nov. 2, 2008, Shanghai, China.

Paper XI. N. Zhu, J. Song, L. Wosinski, and S. He, “Cross-Order Echelle Grating Triplexer Based on Amorphous Silicon Nanowire Platform”, the 7th International

Page 10: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

2

Conference on Optical Internet (COIN) 2008, Oct. 14-16, 2008, Tokyo, Japan.

Paper XII. L. Wosinski, N. Zhu and B. Jaskorzynska, “Silicon-based integrated multiplexers for WDM systems”, invited talk to be presented at The 11th International Conference on Transparent Optical Networks, Ponta Delgada, Portugal, June 28 – July 2, 2009, Proceedings of the IEEE.

Paper XIII B. Jaskorzynska, Y. Song, N. Zhu, Z. Wang, M. Qiu and L. Wosinski, “Dielectric and plasmonic slot waveguides for photonic integration”, invited talk to be presented at The 11th International Conference on Transparent Optical Networks, Ponta Delgada, Portugal, June 28 – July 2, 2009, Proceedings of the IEEE.

Page 11: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

3

Acronyms α-Si:H Hydrogenated Amorphous Silicon ASE Amplified Spontaneous Emission AWG Arrayed Waveguide Grating BPM Beam Propagation Method DUV Deep Ultra-Violet DWDM Dense Wavelength-Division Multiplexing EBL Electron Beam Lithography EDG Etched Diffraction Grating EIM Effective Index Method FDTD Finite-Difference Time-Domain FPR Free Propagation Region FTTH Fiber To The Home GRIN Gradient Index ICP Inductively Coupled Plasma OSA Optical Spectrum Analyzer PBS Polarization Beam Splitter PECVD Plasma Enhanced Chemical Vapor Deposition PhC Photonic Crystal PLC Planar Lightwave Circuit PML Perfectly Matched layer PON Passive Optical Network RIE Reactive Ion Etching SEM Scanning Electron Microscope SOI Silicon-On-Insulator TE Transverse Electric TIR Total Internal Reflection TM Transverse Magnetic

Page 12: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

4

Page 13: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

5

Chapter 1

Introduction

1.1 Background

The third “industrial revolution”, started from 1950, with the signs to be the rapid development of the information and communication sciences and technologies, is radically changing people’s everyday lives. The second part of this period that begun in 1990’s is often called as “The Information Age” and is associated with the invention of the World Wide Web (1989) followed by tremendous acceleration of information flow. With the rapid increasing demands for the data traffic and global communication network capacity, the copper co-axial cables have been gradually replaced by glass fibers in the backbones of the telecommunication networks. Correspondingly, processing including generation, routing, switching, modulation, transmission, filtering, attenuation and detection of optical signals are required at the terminals, which are the main tasks to be managed by different functional photonic devices.

Among many types of photonic devices, Planar lightwave circuits (PLCs) are probably the most important and promising structures in communication networks that can integrate optical waveguides with different optical (and electronic) functions. The famous law named with its inventor Gordon Moore, concludes that the integration density of Integrated circuits (ICs) will be doubled every two years. Recently it is also found by some researchers that photonic circuits obey the same law, but even with a faster speed [1-2]. Derived from ICs, PLCs have the inherited advantages such as enhanced functionality, high reliability, compactness and potential for mass production, compared with other devices based on bulk optics, thin films or fibers. The high integration density and low cost would make PLC technology one of the leading technologies in the future development of optical networks. Up to date, various kinds of components have been realized based on PLCs, including multi/demultiplexers [3-4], switches [5], couplers[6-7] and resonators [8-9].

However, compared with electronic circuits, the sizes of photonic circuits are incredibly large. The diffraction limit requires that light should be confined in the size not smaller than the order of its wavelength [10]. Many efforts have been made on exploring new materials or new structures, to further shrink down the sizes of photonic components, and the most intuitive way is to simply turn to a material with higher refractive index, which consequently reduces the wavelength inside the material. Among all possible material structures which are suitable for guiding light, such as SiO2 [11], LiNbO3 [12], InP [13], Polymer [14], Silicon on Insulator (SOI) [15-16] is gaining more and more interests. Silicon is abundant in nature and is transparent in the telecommunication window with a very high refractive index (~3.5).

Page 14: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

6

More importantly, silicon is the fundamental platform for the entire IC technology. Planar light circuits based on silicon have the advantage of benefiting from the Complementary Metal Oxide Semiconductor (CMOS) technology, which is well established at the cost of a huge amount of money and human resources. Silicon used to fail as a good optical material, due to the lack of the electro-optic effect and stimulated emission [17], which are vital properties for active devices such as modulators and lasers. Nowadays researchers have made progresses on light generation and modulation in silicon by utilizing the Stimulated Raman Scattering (SRS) [18] and controlling the free carriers [19]. It can be envisaged that silicon will become one of the best candidates for future integrated photonic circuits which encompass various functions on a single chip.

For a light guiding structure, by employing silicon as the core, and air or SiO2 (refractive index: 1 or 1.45, respectively) as the cladding, the dimensions of the waveguide can be reduced to the order of several hundreds of nanometers for both the height and the width. Thus this kind of structures is called silicon nanowire. Devices based on silicon nanowire platform usually have the sizes of the order of several tens or hundreds of microns [20-21], much smaller in comparison with those made of conventional silica platform.

Besides nanowires, photonic crystals (PhCs) can also be implemented on the silicon platform. The term “photonic crystals” is referred to the periodic modulation of the material’s refractive index in one or more dimensions that allows inhibition of spontaneous light emission and photon localization [22-23]. The two-dimensional (2D) photonic crystal slabs (PCSs) are the most important platform for realizing photonic band gap structures since they are much easier for fabrication than 3D photonic crystals [24-25]. In these PCSs light is confined by means of the total internal reflection (TIR) in the vertical direction, and by distributed Bragg reflection in the lateral direction. In recent years a large number of ultra compact functional devices based on PCSs has been proposed and realized [26-28].

1.2 Objectives and Motivation

The main objective of this thesis was to study some of the planar integrated optical devices based on silicon nanowire platform. The choice of this material is due to the high compactness, high reliability, CMOS compatibility and potential for mass production. The work includes the design, fabrication and characterization of such devices. The particular goals were:

Development of the fabrication and characterization process for integrated optical devices based on silicon-on-insulator platform.

Design and fabrication, and characterization of the echelle grating demultiplexers.

Investigation of the polarization dependent performances of the echelle grating demultiplexers, development of polarization compensation designs.

Investigation of the photonic crystal cavities, fabrication and characterization of such devices.

Page 15: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

7

The development of the fabrication process includes the optimization of the film deposition of amorphous silicon, the electron beam lithography for pattern definition, and the dry etching for pattern transfer. Due to the extremely small sizes of the devices based on silicon nanowire platform, the lithography should be strictly controlled and optimized.

The investigation of echelle grating demultiplexers includes the improvement of the diffraction efficiency, and echelle grating-based devices on some applications. Meanwhile the emphasis was also put on the polarization insensitive designs of such devices, since the performances of silicon nanowire-based devices were extremely polarization dependent.

From the point of view of sensing applications, pillar-type photonic crystal cavities are of great interests. Photonic crystal cavities based on this kind of structure with high performances, i.e. high Q value and high sensitivity, were investigated.

1.3 Research Summary and Main Achievements

The scope of this thesis is the design, fabrication and characterization of some photonic devices based on silicon nanowire platform. The echelle grating demultiplexers are the key components for WDM networks. There have been a lot of work done for echelle gratings based on InP or SiO2 platforms, while much less work is devoted to these devices based on SOI. In this thesis the performances of this kind of devices have been studied, a design for increasing the diffraction efficiency was applied. By introducing the facet geometry of total internal reflection, an improvement of the diffraction efficiency of more than 3dB was achieved.

An example using echelle grating demultiplexer in applications for PON was also presented. This novel echelle grating-based triplexer utilizes different diffraction orders for different channels, which makes it possible to multi/demultiplex three channels located at 1310nm, 1490nm and 1550nm, by a single echelle grating.

Polarization dependence issue of echelle grating demultiplexers based on silicon nanowire platform has been studied. Two polarization compensation schemes have been proposed. Integrated shallow-etched compensator for polarization compensation was proposed by others for echelle gratings based on InP or silica platform, which failed to work properly for silicon nanowire case where the structural dispersion can not be neglected. An improved design of this kind of compensator has been proposed and can compensate the different dispersions for two polarizations. The other compensation scheme was a dual-grating system assisted by Bragg-grating-based polarization beam splitter. The compensation was achieved by different grating structures for different polarizations.

Another components studied are photonic crystals. Photonic crystal cavities are very attractive due to their extremely high Q values and compactness, and can be applied in many areas such as lasing, sensing, filtering, etc.. In this thesis an example of this kind of cavities based on pillar structure with high performances was fabricated and characterized. The measured Q value is around 7300, and the cavity has very high sensitivity against the variation of the diameter of the pillars and the

Page 16: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

8

refractive index of the background material.

1.4 Thesis Outline

The rest of the thesis is organized as follows: Chapter 2 introduces several numerical methods applied in the following design

works. Kirchhoff scalar diffraction method is used for analyses of the efficiency of grating structures. The beam propagation method (BPM) and finite-difference time-domain (FDTD) method can be used to simulate light propagations inside the very complicated structures.

Chapter 3 discusses the details of the fabrication and characterization steps. The fabrication process starts with the film deposition, by means of plasma enhanced chemical vapor deposition (PECVD). The amorphous silicon platform, on which all the layers are deposited by PECVD, is developed at KTH. The pattern is then defined by electronic-beam lithography (EBL). Then a pattern transfer step is realized with the help of reactive ion ething (RIE). The characterization mainly involves two methods: end-fire coupling and vertical grating coupling.

In Chapter 4 two types of components based on the above amorphous silicon platform are addressed. Echelle grating demultiplexer, one of the typical demultiplexer based on PLC, is studied here. Two concepts for polarization independent design for silicon nanowire based-echelle grating demultiplexer are presented. An echelle grating demlutiplexer is fabricated , with a TIR groove design for improvement of the diffraction efficiency. Triplexer based on cross-order echelle grating is also designed and fabricated. Another planar devices described here are the photonic crystal slabs. A PhC cavity with high Q value based on a 2D PhC slab with silicon pillars is fabricated and characterized.

Finally the conclusion of the work presented in this thesis with some guidelines for future work are given in Chapter 5, followed by the list of the appended papers together with their summaries in Chapter 6.

References

[1] M. Smit, S. Oei, F. Karouta, R. Nötzel, J. Wolter, E. Bente, X. Leijtens, J. van der Tol, M. Hill, H. Dorren, D. Khoe, and H. Binsma, “Photonic integrated circuits: where are the limits?” In Integrated Photonics Research and Applications Nanophotonics for Information Systems, IWB1, Washington, DC, 2005. Optical Society of America.

[2] L. Thylén, S. He, L.Wosinski, and D. Dai, “Moore’s law for photonic integrated circuits”, J. Zhejiang Univ. SCI., 7(12), 1961–1967, 2006.

[3] M K. Smit and C. V. Dam, “Phasar based WDM devices: principles, design and

Page 17: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

9

applications,” IEEE J. Sel. Top. Quantum Electron., 2(2), 236-250, 1996.

[4] J.J. He, B. Lamontagne, A. Delage, L. Erickson, M. Davies, and Emil S. Koteles, “Monolithic integrated wavelength demultiplexer based on a waveguide Rowland circle grating in InGaAsP/InP”, J. Lightwave Techno., 16(4), 631-638, 1998.

[5] S. Sohma, T. Watanabe, T. Shibata, and H. Takahashi, “Compact and low power consumption 16 × 16 optical matrix switch with silicabased PLC technology,” OFC2005, OThV4, 2005.

[6] L. B. Soldano and E. C. M. Pennings, “Optical multimode interference devices based on self-imaging: principles and applications,” J. Lightwave Technol., 13(4), 615-627, 1995.

[7] H. Yamada, T. Chu, S. Ishida, and Y. Arakawa, “Optical directional coupler based on Si-wire waveguides”, IEEE Photon. Techno. Lett., 17(3), 585-587, 2005.

[8] T. Baehr-Jones, M. Hochberg, C. Walker, and A. Scherer, “High-Q ring resonators in thin silicon-on-insulator,” Appl. Phys. Lett., 85(16), 3346-3347, 2004.

[9] S. Xiao, M. H. Khan, H. Shen, and M. Qi, “Compact silicon microring resonators with ultra-low propagation loss in the C band,” Opt. Express, 15(22), 14467-14475, 2007.

[10] B. E. A. Saleh, and M. C. Teich, “Fundamentals of Photonics”, Wiley, New York, 2nd edition, 1991.

[11] Y. Li, and C. Henry, “Silica-based optical integrated circuits”, IEE proceedings in Optoelectronics, 143(5), 263-280, 1996.

[12] R. Ramponi, M. Marangoni, and R. Osellame, “Dispersion of the ordinary refractive-index change in a proton-exchanged LiNbO3 waveguide”, Appl. Phys. Lett., 78(15), 2098-2100, 2001.

[13] M. Takenaka and Y. Nakano, “InP photonic wire waveguide using InAlAs oxide cladding layer,” Opt. Express, 15(13), 8422-8427, 2007.

[14] Yang, Q. Zhou, and R. T. Chen, “Polymide-waveguide-based thermal optical switch using total-internal-reflection effect,” Appl. Phys. Lett., 81(16), 2947-2949, 2002. [15] P. D. Trinh, S. Yegnanarayanan, F. Coppinger, and B. Jalali, “Silicon-on-insulator (SOI) phased-array wavelength multi/multiplexer with extremely low-polarization sensitivity,” IEEE Photon. Technol.Lett., 9(7), 940-942,1997.

[16] G. Roelkens, D. V. Thourhout, and R. Baets, “Silicon-on-insulator ultra-compact duplexer based on a diffractive grating structure”, Opt. Express, 15(16), 10091-10096, 2007.

[17] L. Pavesi, and G. Guillot, “Optical interconnects: the silicon approach”, Springer, New York, 2006.

Page 18: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

10

[18] A. Liu, H. Rong, R. Jones, O. Cohen, D. Hak, and M. Paniccia, “Optical amplification and lasing by stimulated Raman scattering in silicon waveguides”, J. Lightwave Technol., 24(3), 1440-1455, 2006.

[19] C. Manolatou, and M. Lipson, “All-optical silicon modulators based on carrier injection by two-photon absorption”, J. Lightwave Technol., 24(3), 1433-1439, 2006.

[20] D. Dai, L. Liu, L. Wosinski, and S. He, “Design and fabrication of ultra-small overlapped AWG demultiplexer based alpha-Si nanowire waveguides”, Electron. Lett., 42(7), 400-402, 2006.

[21] J. Brouckaert, W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets, “Planar concave grating demultiplexer fabricated on a nanophotonic silicon-on-insulator platform”, J. Lightwave Technol., 25(5), 1269-1275, 2007.

[22] E. Yablonovitch, “Inhibited Spontaneous Emission in Solid-State Physics and Electronics”, Phys. Rev. Lett. 58(20), 2059-2062, 1987.

[23] S. John, “Strong localization of photons in certain disordered dielectric superlattices”, Phys. Rev. Lett. 58(23), 2486-2489, 1987.

[24] T. F. Krauss, R. M. De La Rue, and S. Brand, “Two-dimensional photonic-bandgap structures operating at near-infrared wavelengths”, Nature 383, 699-702, 1996.

[25] G. Johnson, S. Fan, P. R. Villeneuve, J.D. Joannopoulos, and L.A. Kolodziejski, “Guided modes in photonic crystal slabs”, Phys. Rev. B, 60(8), 5751-5758, 1999.

[26] M. Notomi, A. Shinya, S. Mitsugi, E. Kuramochi, and H. Y. Ryu, “Waveguides, resonators and their coupled elements in photonic crystal slabs”, Opt. Express, 12(8), 1551-1561, 2004.

[27] X. Ao and S. He, “Polarization beam splitters based on a two-dimensional photonic crystal of negative refraction”, Opt. Lett., 30(16), 2152-2154, 2005.

[28] A. Chutinan, M. Okano, and S. Noda, “Wider bandwidth with high transmission through waveguide bends in two dimensional photonic crystal slabs”, Appl. Phys. Lett., 80(10), 1698-1700, 2002.

Page 19: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

11

Chapter 2

Design and Simulation Methods

2.1 Introduction

In this chapter, several numerical methods for design and simulation are introduced. The easiest way to analyze light’s behavior is to treat it as rays. For some diffraction components, such as planar concave gratings, scalar integral method directly based on Kirchhoff-Huygens diffraction formula is highly efficient and can proximately provide most properties of their performances for design work. However, for many other cases, where the components have rather complicated structures, one has to resort to the Maxwell equations, which precisely describe the propagation of electro-magnetic waves inside media. And due to the fact that analytical solutions are usually difficult to find in these cases, various numerical models are applied for solving the propagation problems. Here two numerical methods based on the discretization of the wave equations are addressed, namely the Beam Propagation Method (BPM) and finite-difference time-domain (FDTD) method. They are widely used for simulations of light propagation in various kinds of photonic devices.

2.2 Scalar Integral Diffraction Method

Assume that we have an incident field Einc(x,z) with single frequency (for simplicity reason, a 2D case is considered here), and a diffracting surface S. P(x′,z′) is the point located at the surface. According to the Kirchhoff diffraction theory [1], the diffracted field at the surface can be determined by the integral along the input surface:

1 ( , )( , ) (cos cos )2

eff jkrincsurface i d

input

n E x zE x z R e dsr

θ θλ

−′ ′ = +∫ (2.1)

Here R is the reflection factor, r is the distance from the input field to the point P, neff is the effective index of the medium, θi and θd are the incident and diffracted angle according to the surface normal at P point. Thus, by applying the Kirchhoff diffraction formula again, one can obtain the field distribution on any output point Pout(x″,z″), which is away from the surface by a distance r1:

1

1

( , )1( , ) (cos cos )2

eff surface jkrout i d

surface

n E x zE x z R e ds

rθ θ

λ−′ ′ ′ ′′′ ′′ = +∫ (2.2)

When considering a planar diffraction grating, if we assume that the distance between the input field and the grating surface is much larger than the period of the grating, we can make such approximation that takes the amplitude of the incident field

Page 20: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

12

as a constant over the entire facet, and the phase is changing along the facet. This can simplify the calculation to a large extent.

For a multi/demultiplexer based on planar concave grating, the energy coupled back into the output waveguide could be written as

2

( ) ( )out eigenoutput

I E x E x dx∗= ∫ (2.3)

Since this kind of demultiplexer is a linearly dispersive element, the image field shifts when the frequency f changes, i.e., x DdλΔ = , where D is the linear dispersion

defined by D dx dλ= . So the energy inside the output waveguide can be rewritten

as [2]:

2

0( ) ( ( )) ( )out eigenoutput

I E x D E x dxλ λ λ ∗= − −∫ (2.4)

2.3 Beam Propagation Method

Despite of the simplicity of the Kirchhoff diffraction theory, it fails to accurately describe most of the complex devices in the real world. BPM is another efficient method [3-6], which is based on the discretization of the wave equations. There are several numerical models implemented in BPM, such as Fourier transform method [7-8], finite element method [9], and finite difference method [10-11]. Among these methods, finite difference method-based BPM is broadly used due to its high accuracy and relative simplicity. The basic principles and equations of BPM will be explained in the following part of this section.

The well-known Maxwell equations, the Faraday’s Law, the Ampere’s Law, the Gaussian Law for the electric and magnetic field, are as follows:

mB E Jt

∂= −∇× −

∂ (2.5a)

eD H Jt

∂= ∇× −

∂ (2.5b)

eD ρ∇ ⋅ = (2.5c)

mB ρ∇ ⋅ = (2.5d)

Here, E is the electric field, H is the magnetic field, D is the electric flux

density, B is the magnetic flux density, eJ is the electric current density, mJ is

the magnetic current density, eρ is the electric charge density, mρ is the magnetic

charge density.

Page 21: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

13

Considering harmonic waves with sinusoidal time dependence, we can define the electric and magnetic field as:

( , ) ( ) j tE r t E r e ω= (2.6a)

( , ) ( ) j tH r t H r e ω= (2.6b)

By introducing Eq. 2.6a and Eq.2.6b into the Maxwell equations, one can obtain the wave equations as follows:

2 2 0r

r

E E k Eεε

⎛ ⎞∇∇ +∇ ⋅ + =⎜ ⎟

⎝ ⎠ (2.7a)

( )2 2 0r

r

H H k Hεε∇

∇ + × ∇× + = (2.7b)

where k is the wave vector. For simplicity, we only consider the E component, the derivation of the formula

for H component is similar. The wave equation 2.7a can be rewritten in the following form:

2

2xx xy x x

yx yy y y

P P E EP P E Ez

⎛ ⎞⎛ ⎞ ⎛ ⎞∂= −⎜ ⎟⎜ ⎟ ⎜ ⎟∂⎝ ⎠⎝ ⎠ ⎝ ⎠

(2.8)

Here Pij is defined by

2 2

2 202 2

1 ( )x xxx x x

n E EP E n k Ex n x y⎡ ⎤∂ ∂ ∂

= + +⎢ ⎥∂ ∂ ∂⎣ ⎦ (2.9a)

2 2

21 ( )x x

yx xn E EP E

y n x x y⎡ ⎤∂ ∂ ∂

= −⎢ ⎥∂ ∂ ∂ ∂⎣ ⎦ (2.9b)

2 2

2

( )1 y yxy y

n E EP E

x n y x y⎡ ⎤∂ ∂∂

= −⎢ ⎥∂ ∂ ∂ ∂⎣ ⎦ (2.9c)

2 2

2 202 2

( )1 y yyy y y

n E EP E n k E

y n y x⎡ ⎤∂ ∂∂

= + +⎢ ⎥∂ ∂ ∂⎣ ⎦ (2.9d)

Assuming that the propagation direction is along z axis, the E field can be defined by

( , )exp( )E E x y j zβ= − , where β is the propagation constant. Thus Eq. 2.8 becomes:

2

22( 2 )xx xy x x

yx yy y y

P P E Ej

P P E Ez zβ β

⎛ ⎞⎛ ⎞ ⎛ ⎞∂ ∂= − −⎜ ⎟⎜ ⎟ ⎜ ⎟∂ ∂⎝ ⎠⎝ ⎠ ⎝ ⎠

(2.10)

Furthermore, by making the assumption that the envelope of the field amplitude is

slowly varying along z axis [12], the term 2

2z∂∂

can be neglected.

Page 22: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

14

Eq. 2.10 is the full-vectorial form of the wave equation. Usually a semi-vectorial finite-difference beam propagation method is already enough accurate and highly efficient. In the semi-vectorial case, the coupling between the two orthogonal directions, which is described by Pxy and Pyy, is neglected. Thus we have the basic equations for both two polarizations:

Quasi-TE: 2 2

2 2 202 2

1 ( ) ( ) 2x x xx

n E E En k E jx n x y z

β β⎡ ⎤∂ ∂ ∂ ∂

+ + − = −⎢ ⎥∂ ∂ ∂ ∂⎣ ⎦ (2.11a)

Quasi-TM: 2 2

2 2 202 2

( )1 ( ) 2y y yy

n E E En k E j

y n y x zβ β

⎡ ⎤∂ ∂ ∂∂+ + − = −⎢ ⎥∂ ∂ ∂ ∂⎣ ⎦

(2.11b)

These two equations can be solved by applying the finite difference method [13].

2.4 Finite-Difference Time-Domain Method

Although BPM is an efficient way in many situations, it has some limitations when dealing with problems related with extremely wide angles or reflections. Finite-difference time-domain method [14-16], on the other hand, can solve the propagations inside almost all kinds of complicated structures. It is based on handling the Maxwell equations themselves without any approximations, which results in providing accurate solutions. The only drawback is probably the occupation of a huge memory and computation time.

Besides the Maxwell equations 2.5a-2.5d, the material relations are also provided:

D Eε= (2.12a)

B Hμ= (2.12b)

eJ Eσ= (2.12c)

mJ Hσ ∗= (2.12d)

where ε is the permittivity, μ is the permeability, σ is the electric conductivity, and σ* is the magnetic conductivity. For the lossless materials, σ and σ* are zero. By introduction of these relations, Eq. 2.5a and 2.5b can be rewritten in the following forms:

H E Ht

μ σ ∗∂= −∇× −

∂ (2.13a)

E H Et

ε σ∂= −∇× −

∂ (2.13b)

Assuming that the material is isotropic (isotropic ε, μ, σ, and σ*), these two equations can be further extended to six scalar equations, which contain the six components of

Page 23: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

15

the electric and magnetic fields: Ex, Ey, Ez, Hx, Hy, Hz. In 1966 Yee introduced a set of finite-difference equations for the above scalar systems [17]. In his notation, we can denote any function of space and time as:

( , , ) ( , , , )nF i j k F i x j y k z n t= Δ Δ Δ Δ (2.14)

where Δx, Δy, and Δz are the lattice space increments, respectively, and Δt is the time increment, i, j, k, and n are integers. Following Yee’s meshes, where the E field and H field are shifted with a half of the step size, in both the space and time domains. Therefore, the two equations for the electric and magnetic field by discretization of Eq. 2.13a and 2.13b can be written as;

1 12 2

12

1 12 2

n n n

t t

H H Et t

σμ μ

σ σμ μ

+ −

∗ ∗

⎛ ⎞ ⎛ ⎞Δ Δ−⎜ ⎟ ⎜ ⎟⎜ ⎟ ⎜ ⎟= ⋅ − ⋅∇×

Δ Δ⎜ ⎟ ⎜ ⎟+ +⎜ ⎟ ⎜ ⎟⎝ ⎠ ⎝ ⎠

(2.15a)

11 2

12

1 12 2

nn n

t t

E E Ht t

σε ε

σ σε ε

++

Δ Δ⎛ ⎞ ⎛ ⎞−⎜ ⎟ ⎜ ⎟= ⋅ + ⋅∇×⎜ ⎟ ⎜ ⎟Δ Δ⎜ ⎟ ⎜ ⎟+ +⎝ ⎠ ⎝ ⎠

(2.15b)

Similarly these two equations can be easily expanded to six scalar ones, and the solutions can be obtained simply by iterations in the time domain. It should be also mentioned, that the time step Δt can not be chosen randomly. In order to obtain a stable and convergent solution, Δt must satisfy the following requirement:

2 2 2

11 1 1

c t

x y z

Δ <+ +

Δ Δ Δ

(2.16)

where c is the light speed in the material.

Fig. 2.1 Yee’s grid in the Cartesian coordinate system.

Page 24: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

16

In the FDTD method, the entire computational domain can be divided into two sub-domains, one is the total field region, the other is the scatter field region. The first region contains only the scattered or reflected wave, while the latter contains both the incident and scattered waves. A time-dependent source is placed on the boundary of these two regions, and the input electro-magnetic wave is thus introduced into the total field region.

As mentioned above, the FDTD method is very time-consuming compared to others such as BPM, especially for components with relatively large sizes. An efficient way is to combine the FDTD method with the BPM method. For simulations related with large angles or reflections, sometimes it is able to apply the FDTD method only in certain small regions, for example where reflections occur, while apply the BPM method in the other regions, thus simplifies the simulations (Paper I).

2.5 Perfectly Matched Layer Boundary Condition

For all kinds of numerical methods, since the computational field can not be infinite, thus special boundary conditions should be introduced, on the boundaries of the computational area, in order to avoid reflections.

The Perfectly Matched Layer (PML) boundary condition was proposed by Berenger [18], which consists of an artificial medium surrounding the computational domain, designed to damp wave in the normal direction. Assume that this absorbing layer has the electric and magnetic conductivity σ, and σ*. When looking at the Eqs. 2.13, the wave impedance can be defined by:

PMLjZj

σμ ωσε ω

∗+

=+

(2.17)

In order to make the impedance matched at the PML/dielectric interface for a normally incident wave, one needs to satisfy:

σ σμ ε

= (2.18)

For oblique incidence, as long as the conductivities along the interface satisfy Eq. 2.18, there will be zero reflection at any incidence angle and at any frequency. The PML boundary is based on this conclusion. PML technique was applied to the FDTD method [18], and later modified and improved and can be implemented to other numerical methods like BPM and FDM [19].

References

[1] J. A. Ogilvy, “Theory of wave scattering from random rough surfaces”, IOP, London, 1992.

Page 25: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

17

[2] J. Song, “Electromagnetic simulation and design of etched diffraction grating demultiplexers”, doctoral thesis, ISSN 1653-5146, KTH, 2008.

[3] J. V. Roy, J. V. der Donk, and P. E. Lagasse, “Beam propagation method: Analysis and assessment”, J. Opt. Soc. Amer., 71(7), 803-810, 1983.

[4] R. Scarmozzino, A. Gopinath, R. pregla and S. Helfert , “Numerical Techniques for Modeling Guided-Wave Photonic Devices”, IEEE J. Sel. Top. Quantum Electron., 6(1), 150-162, 2000.

[5] H. Deng, G. H. Jin, J. Harari, J. P. Vilcot, and D. Decoster, “Investigation of 3D semivectorial finite-difference beampropagation method for bent waveguides”, J. Lightw. Technol., 16(5), 915-922, 1998.

[6] M. D. Feit, and J. A. Fleck, Jr., “Analysis of rib waveguides and couplers by the propagating beam method”, J. Opt. Soc. Am. A, 7(1), 73-79, 1990.

[7] R. Scarmozzino, and R. M. Osgood, “Comparison of finite-difference and Fourier-transform solutions of the parabolic wave equation with emphasis on integrated-optics applications”, J. Opt. Soc. Am. A, 8(5), 724-731, 1991.

[8] P. Pantelakis, and E. E. Kriezis, “Modified two-dimensional fast Fourier transform beam propagation method for media with random variations of refractive index”, J. Opt. Soc. Am. A, 13(9), 1884-1890, 1996.

[9] S. Selleri, L. Vincetti, and M. Zoboli, “Full-vector finite-element beam propagation method for anisotropic optical device analysis”, IEEE J. Quan. Electron., 36(12), 1392-1401, 2000.

[10] Y. C. Chuang, and N. Dagli, “An assessment of finite difference beam propagation method”, IEEE J. Quan. Electron., 26(8), 1335-1339, 1990.

[11] J. Yamauchi, J. Shibayama, O. Sairo, O. Uchiyama, and H. Nakano, “Improved finite-difference beam-propagation method based on the generalized Douglas scheme and its application to semivectorial analysis”, J. Lightw. Technol., 14(10), 2401-2406, 1996.

[12] F. Ma, “Slowly varying envelope simulation of optical waves in time domain with transparent and absorbing boundary conditions”, J. Lightwave.Technol., 15(10), 1974-1985, 1997.

[13] Y. Hsueh, M. Yang, and H. Chang, “Three-dimensional noniterative full-vectorial beam propagation method based on the alternating direction implicit method”, J. Lightw. Technol., 17(11), 2389-2397, 1999.

[14] A. Taflove, “Computational Electrodynamics: The Finite-difference Time-domain Method”, Artech House, Norwood, MA, 1995.

[15] A. Taflove, “Review of the formulation and application of the finite-difference time-domain method for numerical modeling of electromagnetic wave infractions with arbitrary structures”, Wave Motion, 10(6), 547-582, 1988.

Page 26: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

18

[16] R. M. Joseph and A. Taflove, “FDTD Maxwell’s equations models for nonlinear electrodynamics and optics”, IEEE Trans. Antennas Propagat., 45(3), 364-374, 1997.

[17] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell's equations in isotropic media”, IEEE Trans. Antennas Propagat., AP-14(3), 302-307, 1966.

[18] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves”, J.Comput. Phys., 114(2), 185-200, 1994.

[19] W. P. Huang, C. L. Xu, W. Lui, and K. Yokoyama, “The perfectly matched layer boundary condition for modal analysis of optical waveguides: leaky mode calculations”, IEEE Photon. Technol.Lett., 8(5), 652-654, 1996.

Page 27: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

19

Chapter 3

Fabrication and Characterization

3.1 Introduction

The Fabrication technology of the PLC devices is similar to its predecessor, the COMS technology, which is a combination of lithography and etching. This printing mode of fabrication has the advantages of high stability and high yield, which are vital for mass production. There are different materials introduced into PLC technology, including the Silicon nanowire platform, which is a very promising platform, considering its high contrast of refractive index and compatibility with CMOS technology. In this thesis, the technology described here is used for fabrication of silicon nanowire based components. Fig. 3.1 gives the schematic of the fabrication processes.

Fig. 3.1 The schematic illustration of the fabrication steps for silicon nanowire devices: (a) film deposition, (b)-(c) pattern generation, (d)-(e) pattern transfer.

Film deposition: Usually the film can be deposited (Fig. 3.1a) or grown either by Plasma Enhanced Chemical Vapor Deposition (PECVD), Metal Organic Vapor Phase Epitaxy (MOVPE) or E-beam Evaporation, etc. Here in our structure, we use the silica dioxide (~2-5μm) as the buffer layer, and amorphous silicon (250nm) as the

Page 28: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

20

core layer, both deposited by PECVD. This technique allows us to choose the parameters of the layers more flexibly. Pattern generation: After the films are prepared, we use electron-beam lithography (EBL) to define the patterns (Fig. 3.1 b-c). Since the components are based on silicon nanowire, the common photolithography can not provide required resolution. Deep ultra-violet (DUV) lithography is another alternative, here we adopt EBL as it does not require any additional masks, which gives more flexibility in design. Pattern transfer: Dry etching can be used to transfer the patterns from resist to the final material (Fig. 3.1 d-e). The etching tool we used in this thesis is Inductive coupled plasma -reactive ion etching (ICP-RIE). After etching the resist is removed by oxygen plasma.

After the above processing steps, the fabricated devices are characterized by one of the following two methods: End-fire coupling method or vertical grating coupling method. In the first method, the samples are cleaved after the removal of the resist. Then the input light is directly coupled to the input waveguides on the chips. The output light is imaged by an objective and received by a fiber, which is connected to the optical spectrum analyzer (OSA). For using the second method, the sample has to be patterned again by EBL with gratings superimposed on both input and output waveguides, and then shallow etched by RIE. Two fibers, for illuminating and receiving of light, are aligned on the top of these gratings. This method has a higher coupling efficiency and better alignment tolerance, but is less suitable for packaging.

3.2 Fabrication Procedures

3.2.1 Film Deposition

The fabricated photonic devices in this thesis are based on the amorphous silicon platform, which is developed in the Royal Institute of Technology in Sweden (KTH) [1-4]. Instead of the commercially available SOI wafer, the silicon layer and the silica dioxide buffer layer are all deposited by PECVD [5-6]. This technique allows us to optimize more flexibly the parameters of these layers, including the thickness, and even the refractive index to some extent. Compared with the normal thermal CVD deposition, PECVD has the main advantage of processing under a relatively low temperature, due to the fact that energy can be transferred selectively to breaking the precursors to the gas molecules in the plasma discharge. This low temperature process is attractive in many aspects, it allows integration of temperature sensitive components, prevents diffusions in high temperature conditions, and also reduces the thermal-mismatch stresses resulted from the cooling down step.

Fig. 3.2 shows the sketch of the PECVD reactor. Generally the deposition process can be summarized in the following steps. Firstly gas precursors are introduced to the pre-vacuumed chamber, through the showerhead which makes them uniformly spread out. The top and the bottom electrodes are usually heated up to 250°C or 300°C. An RF power (low frequency at 380kHz, or high frequency at

Page 29: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

21

13.56MHz) is coupled in through the matching units. The energy transfer from the plasma starts with the acceleration of the electrons in the electric field. These energized electrons impact with the gas molecules or atoms, breaks them into free radicals. The film growth then consists of three steps, the absorption of the arriving radicals at the surface through diffusion, the reactions of these absorbed species with each other and finally the release of the volatile by-products. In this process, several parameters play important roles for the quality of the deposited films. The ratio between different gas precursors directly affects the chemical reaction and thus the composition of the films. For instance in the deposition of the SiO2, the concentration of O atoms in the gas mixture must exceed the concentration of Si atoms by at least a factor of 100, so that sufficient O atoms are available for complete reaction with the SiH4 and simultaneously the removal of the hydrogen and cross linking of the oxide structure in the films [7]. The frequency and power of RF source influence the energies and densities of the electrons and ions, and also the stress property inside the films. The pressure impacts the quality and uniformity of the films. All of these parameters need to be carefully chosen so as to obtain low loss films for fabrications of integrated optics. Table 3.1 gives the optimized recipes for the deposition of SiO2 and amorphous silicon.

Fig. 3.2 The sketch of the parallel plate PECVD reactor

The deposited SiO2 has very low loss at telecommunication window even without annealing. The α-Si:H has a higher refractive index (~3.6) compared with the usual crystalline silicon, and its material loss is around 1.5dB/cm at the optimal case [3]. In our amorphous silicon platform, first a layer of silica buffer (~2μm-5μm) is deposited, and then an amorphous silicon layer with desired thickness (for example 250nm for some of our silicon nanowire structures) is deposited on top of it. This technique allows more flexibility in choosing the parameters of the deposited films, at the cost of higher material loss in the films.

Page 30: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

22

Tab. 3.1 Process parameters for deposition of some films by PECVD SiO2 α-Si:H SiH4 flow rate (sccm) 20 60 N2O flow rate (sccm) 2000 0 Pressure (mTorr) 300 270 RF power (Watt) 800 5 RF frequency (kHz) 380 13.56 × 103 Showerhead temperature (°C) 250 250 Platen temperature (°C) 300 250

3.2.2 Pattern Generation

Since the conventional photolithography fails to provide sufficient resolution when it comes to components based on silicon nanowires, which requires a typical linewidth of the order of several hundreds of nanometers, other tools with higher resolution are required. Usually this can be achieved by e-beam lithography (EBL) [8], nano-imprinting lithography (NIL), and deep ultra-violet (DUV) lithography. In this thesis, the EBL method is applied for all the devices. EBL is a specialized technique for creating the extremely fine patterns required by the modern industry for integrated circuits. Basically it consists of an SEM and a pattern generation system, which make it possible to scan a beam of electrons across the sample with desired paths. The main advantages of EBL technique are capablity of very high resolution, almost to the atomic level and flexiblity in terms of materials and patterns. On the other hand this technique is much slower than conventional photolithography as well as more complicated and expensive. Despite of these disadvantages, EBL is still one of the most robust techniques for mano-fabrication and is widely used mainly for research and development [9-11].

Fig. 3.3 Block diagram of the major components of a typical EBL system.

Page 31: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

23

Fig. 3.3 illustrates the major parts of a typical EBL system. Usually the system contains the following modules: column, chamber with interferometer-controlled laser stage, pattern generator and other electronics such as power supply, control units and computers.

In the EBL system, the part that forms the electron beam is referred as the column. The electrons are generated by the electron gun and accelerated with acceleration voltage ranging typically from 1keV to 100keV. A beam blanker is used to turn the beam on or off, together with an aperture to define the beam. The aperture helps to set the beam convergence angle, controlling the effect of lens aberrations and thus resolution, and also set the beam current. Decreasing the aperture provides better quality of the exposure, at the cost of longer exposure time which is due to the reduction of the total current. To obtain the best quality of the focused beam, several further adjustments need to be done before exposure, like astigmatism, focus, aperture centering, etc.

The sample is placed on an interferometer-controlled laser stage, which defines the positions with respect to the column. For definition of large patterns, the system divides the whole pattern into small parts, called writing fields (WFs). Inside each writing field, the stage is fixed and the electron beam is deflected by the column and able to cover the whole area. However, from WF to WF, the movement of the stage will cause random error causing mismatch between the adjacent WFs, which is referred as stitching error. A writing field alignment procedure is thus applied to eliminate this stitching error. The WF alignment is done in the following way: SEM images of a spot which is located at the optical axis of the column are scanned, when the stage is placed in different positions. The system will align the writing field according to the positions of the spot within each scanned image. With these operations, the orthogonality and the scaling of the deflection axes of the column are calibrated to the accuracy of the stage. Usually the smaller the size of the writing field is, the more accurate correction can be obtained, but also more stitches need to be introduced for the same pattern.

The pattern generator receives the data of the patterns to be exposed from the computer, and defines the exposure paths by controlling the beam blanker and the scan coil amplifiers. The scanning speed is determined by two factors: the stepsize, which means the distance between two adjacent scanned spots, and the dwell time, which is the required time for the electron beam to stay at one location to provide sufficient dose for the resist.

The EBL system used in this thesis is the Raith 150 system. Some of the main parameters are given in table 3.2 [12].

Although in theory the EBL tools should be able to from extremely fine patterns, it is much more complicated in practice. The electrons suffer scattering when incident into the resist and substrate. Some of them experience small angle scattering thus make the beam expand when reaching the substrate. This may result in a broader beam profile at the bottom than at the top. By applying high accelerating voltage the forward scattering can be minimized. Besides these forward-scattered electrons, there are electrons that penetrate through the substrate and experience large angle scattering,

Page 32: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

24

Tab. 3.2 Vendor-specified parameter range and frequently used parameters in this thesis

Parameter Range Used Acceleration voltage (keV) 200-30 25 Aperture size (μm) 7.5-120 10, 30 Current (nA) 0.004-10 ~0.03, ~0.3 Working distance (mm) 2-12 ~5 Writefield size (μm) 60-1400 100, 350 Stepsize (nm) 1-22 6, 18

and return back through the resist at a distance away from the exposing spot. This additional exposure is called proximity effect. Usually this effect must be compensated, especially in closely patterned regions, in order to obtain the desired profiles. Dose modulation is the most common way for compensation. The dose for each individual pattern should be assigned specifically: generally it is larger for the isolated patterns than for the closely positioned patterns. In Fig. 3.4 an example of correction for proximity effect is shown. The line between two large exposing areas is designed to be 150nm. It reduces to only around 110nm due to the proximity effect as shown in Fig. 3.4(a), whereas by decreasing the dose in the small adjacent regions next to this line, its width increases as shown in Fig. 3.4(b).

(a) (b)

Fig. 3.4 An example of dose modulation: (a) without correction, and (b) with correction.

Ebeam resists are the transfer media for the beam-recorded patterns. There are two types of resists: positive resist and negative resist. When the exposing beam modifies the characteristics of the resists, it makes the exposed region more soluble for positive one, or less soluble for negative one in developer, as shown in Fig. 3.5.

The typical e-beam lithography process contains the following steps: (1) The resist is spun onto the substrate with the speed at 1000-6000rpm. (2) Soft-bake is carried out to drive out the solvent and consolidate the film. (3) The resist is exposed by electron beam. (4) The pattern is formed in the developer. (5) Hard-bake and O2 descum before etching or lift-off. (6) Stripping of the resist.

The quality and resolution of the process is closely related to the properties of the

Page 33: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

25

resists. The main characteristics of resists are resolution, contrast and sensitivity. The resolution of the resist is usually smaller than the resolution of the focused electron beam itself, thus it is the constrained factor. The contrast of the resist is determined by two values, the smallest dose which is required to make the exposed region completely removed for positive resist (insoluble for negative resist), and the largest dose which keeps the exposed region insoluble (soluble for negative one). The larger the difference of these two values, the smaller the contrast of the resist. Higher contrast provides wider process latitudes and more vertical sidewall profiles. The sensitivity influence the exposing speed. However, high sensitivity usually reduces the resolution, since the required number of electrons in each pixel becomes too small to allow an even exposure of the pattern.

Fig 3.5 Positive and negative resists.

Here two types of resists are frequently used for our fabrications. The positive tone resist ZEP520A and negative tone resist ma-N 2403. The typical process parameters for these resists are listed in table 3.3.

Tab. 3.3 Process parameters for two resists

Resist ZEP520A ma-N 2403 Spin 5000rpm, ~350nm 3000rpm, ~300nm Bake 180°C, 10min 90°C, 3min Exposure voltage 25kV 25kV Dose 60μC/cm2 120μC/cm2 Development p-Xylene, 1min40sec ma-D 532, 3min10sec

Sometimes multiple patterning steps are required. For instance, when the shallow-etched gratings are needed for fiber coupling, they can’t be etched together with other devices which need deep etching. Thus a second patterning step which

Page 34: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

26

must be strictly aligned with respect to the previous patterns is required. The Raith150 provides a procedure called “3-points alignment” for this specific application. The alignment marks should be fabricated together with the previous patterns, and then found under SEM and specified with their corresponding coordinates in previous patterning. With three of these coordinates, the Raith system will automatically adjust the current coordinate system to be exactly the same with the previous one. By this way, the new patterns are able to be exposed at desired positions with respect to the old ones, within an accuracy of several tens of nanometers.

3.2.3 Pattern Transfer

Dry etching technology [6, 13] has been widely used in the IC industry, since it is capable of offering anisotropic etching and perfect pattern transferring with very high resolution, compared with wet etching. In the fabrication steps of PLC devices, a dry etching process is preferable because vertical sidewall profiles are usually required. Dry etching typically involves two etching mechanisms, physical etching (sputtering), and chemical etching. Etching can be done either physically, or chemically, or a combination of both.

Reactive Ion Etching (RIE) is an etching process where both physical sputtering and chemical reactions are both involved. This technique utilizes kinetically assisted chemical etching, leading to directional etching. Taking as an example the Si etching, which is used most frequently in this thesis, the mechanism of RIE is illustrated as follows. Two gases are used here as the plasma source gases, SF6 and C4F8. Here SF6 is the primary etchant to provide reactive F radicals, while C4F8 is responsible for the deposition of carbon-fluorine polymer to protect the sidewalls. This polymer is highly resistant to the chemical etching. In the horizontal direction, the surfaces undergo the ion bombardment which removes the polymer and leads to chemical reaction with exposed Si, forming volatile products. In the vertical direction, the sidewalls are protected by the polymer against the etching with Si.

For deep anisotropic etching, the density of the plasma and the energy of ion bombardment need to be controlled separately. The inductively coupled plasma (ICP) source is introduced into the RIE system. Fig 3.6 shows the sketch of such ICP-RIE system. The inductively coupled coil power creates plasma with desired density and energy, while the platen power controls the energy of ion bombardment. In this thesis an etching machine (Advance Oxide Etcher, AOE) from STS (Surface Technology Systems) is applied. The process is influenced by several parameters. The coil power affects the plasma density, which provides reactive radicals for both etching and deposition reactions. The etching rate is a result of the competition between these two simultaneous processes, thus it is related to the coil power, but also it is difficult to predict towards which direction the rate is changing. The platen power controls the energy of ion bombardment. Increasing energy of ion bombarding usually increases the etching directionality and leads to more vertical sidewall profiles, and accelerates the etching rate. Meanwhile it can also reduce the resistance of the mask hence decrease the selectivity. Low pressure means long mean free path length and

Page 35: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

27

low density of the ions, which result in more anisotropic etching profiles and lower etching rate. High substrate temperature helps to increase the etching rate. However it may burn the resist sometimes. In our recipe for Si etching, the flow rate of SF6 and C4F8 are around 28sccm and 24sccm, respectively. Other parameters are: the pressure 15mTorr, coil power 800W, platen power 20W, platen temperature 20°C. Fig. 3.7 shows the comparison of the sidewall profiles with and without optimization of the ratio between two gases.

Fig. 3.6 Sketch of the ICP-RIE system.

Fig. 3.7 The etching results of silicon pillars. Left: vertical sidewalls, right: tilted sidewalls.

3.3 Characterization Methods

3.3.1 Butt-coupling Method

The end-fire setup is widely used for characterizations of PLC devices. Generally

Page 36: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

28

speaking, the light is coupled to the end facet of the cleaved PLC sample from the fiber, and the transmitted signal from the other end is collected through the receiving fiber usually connected to the power meter or spectrum analyzer. The end-fire setup used for testing the optical properties of the fabricated components in this thesis is illustrated in Fig. 3.8.

The typical light source is an amplified spontaneous emission (ASE) broadband source with its spectral range from 1530nm to 1610nm. The incident light is transmitted through a single mode fiber, and is focused by a gradient index (GRIN) lens positioned right after the fiber end. This focused beam is then illuminated on the end facet of the cleaved sample (with total length ~2-3mm) under testing. The output light from the other facet of the sample is imaged by an objective, with the magnification of 40-60 times, and then split into two beams by a beam splitter. One beam is directed to an infrared CCD camera, in order to align the position of the sample to obtain maximum output energy, the other one is collected by a multimode receiving fiber and transmitted to the optical spectrum analyzer (OSA).

Fig. 3.8 (a) Sketch of the end-fire system; (b) A photograph of the end-fire setup in the KTH characterization lab.

(a)

(b)

Page 37: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

29

The main disadvantage of this characterization method is the low coupling efficiency from fiber to waveguides. The typical Si nanowire waveguide has the dimension of ~250nm×450nm, much smaller than the focused beam, usually with the diameter of ~1-2μm. The typical total loss through a straight waveguide in our setup is 50-55dBm, ~20dB out of it originates from the end-fire system itself. Moreover the coupling efficiency is extremely sensitive to the orientation and position of the sample, and also the quality of the cleaved facets. Thus the sample needs to be carefully aligned before recording the optical spectrum.

3.3.2 Vertical-coupling Method

An alternative way to the end-fire method is the vertical fiber coupling method [14-15]. With this method, the coupling efficiency is higher, and the tolerance of alignment is also better. The drawback is that additional processing steps including patterning and etching are required which further increase the complexity of the fabrications.

Fig. 3.9 (a) Sketch of the vertical fiber coupling system; (b) A photograph of the vertical coupling setup in the KTH characterization lab.

Si

SiO2

Si substrate

(a)

(b)

Page 38: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

30

Fig. 3.9 shows the vertical coupling setup. The incident light from one fiber is illuminated on the grating superimposed on the Si waveguide (by shallow etching on the Si waveguide), and coupled into the fundamental mode of the waveguide (either TE or TM mode). The output light is then coupled back to the receiving fiber by the grating on the other end of the waveguide.

For an infinitely long grating with a period of Λ, the Bragg condition can be expressed by

02sink m πβ θ= +Λ

(3.1)

Here β is the propagation constant of the guided mode inside the waveguide, k0 is the wave number of the incident light in vacuum, θ is the incident angle, and m is an integer. In our design, we use the software CAMFR (based on eigenmode expansion method) to simulate the coupler efficiency of the gratings. Finally the parameters are chosen as follows: the wavelength is 1550nm, working in the TE polarization, grating period is 566nm, incident angle is 10°, filling factor is 50%, and the etching depth is 70nm. The simulated and measured coupling efficiency are given in Fig. 3.10.

1500 1510 1520 1530 1540 1550 1560 1570 1580-50

-45

-40

-35

-30

-25

-20

-15

-10

-5

Wavelength(nm)

Cou

plin

g Ef

ficie

ncy(

dB)

TheoreticalExperimental

Fig. 3.10 The calculated and measured coupling efficiency of the system.

In Fig. 3.10 the coupling efficiency refers to the total fiber to fiber efficiency of the setup, including both the input and output. It can be noticed that the measured 3dB bandwidth is actually smaller than the theoretical value. One reason could be the material dispersion of the Si, which gives a smaller refractive index when the wavelength increases. When we consider the Bragg condition in Eq. 3.1, we can see that for a larger wavelength the grating period should also increase as well. When the refractive index of the material reduces, the propagation constant β also decreases,

Page 39: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

31

which makes the required grating period further deviate from the chosen value. This makes the efficiency drop faster than the simulated value.

References [1] L. Wosinski, L. Liu, M. Dainese, and D. Dai, “Amorphous silicon in nanophotonic technology”, Proceedings of the 13th European Conference on Integrated Optics, ECIO 2007, Copenhagen, Denmark, April 25-27, 2007.

[2] H. Fernando, “Fabrication and characterization of photonic integrated circuits”, doctoral thesis, ISSN 0348-4467, KTH, 2004.

[3] M. Dainese, “Plasma assisted technology for Si-based photonic integrated circuits”, doctoral thesis, 0348-4467, KTH, 2005.

[4] L. Liu, “Design, fabrication, and characterization of nano-photonic components based on silicon and plasmonic material”, doctoral thesis, ISSN 1653-7610, KTH, 2006.

[5] M. A. Liebermann and A. J. Lichtenberg, “Principles of plasma discharges and materials processing”, Wiley & Sons, 1994.

[6] R.J. Shul, S.J Pearton, “Handbook of Advanced Plasma Processing Techniques”, Springer, 2000

[7] L. Wosinski, J. K. Sahu, H. Fernando, and T. Augustsson, “Improvement of PECVD technology for low loss silica-on-silicon integrated optics”, Proceedings of the European Conference on Integrated Optics, ECIO 1999, Torino, Italy, April 13-16, 1999.

[8] P. Rai-Choudhury, “Handbook of Microlithography, Micromachining, and Micrafabrication”, volume 1 Microlithography, SPIE, 1997.

[9] M. Peckerar, D. Sander, and A. Srivastava, “Electron beam and optical proximity effect reduction for nanolithography: New results”, J. Vac. Sci. Technol. B, 25(6), 2288-2294, 2007.

[10] A. Tseng, K. Chen, C. D. Chen, and K. J. Ma, “Electron Beam Lithography in Nanoscale Fabrication: Recent Development”, IEEE Transactions on Electronics Packaging Manufacturing, 26(2), 141-149, 2003.

[11] G. Subramania, and S. Lin, “Fabrication of three-dimensional photonic crystal with alignment based on electron beam lithography”, Appl. Phys. Lett., 85(21), 5037-5039, 2004.

[12] A. Holmberg, “Nanofabrication of zone plate optics for compact soft X-ray microscopy”, doctoral thesis, KTH, ISSN 0280-316X, 2006.

[13] M. J. Madou, “Fundamentals of microfabrication: the science of miniaturization”,

Page 40: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

32

second edition, CRC press, 2002.

[14] D. Taillaert, “Grating Couplers as Interface between Optical Fibres and Nanophotonic Waveguides”, doctoral thesis, Ghent University, 2004.

[15] D. Taillaert, P. Bienstman, and R. Baets, “Compact efficient broadband grating coupler for silicon-on-insulator waveguides”, Opt. Lett., 29(23), 2749-2751, 2004.

Page 41: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

33

Chapter 4

Some Fabricated Devices Some of the components based on the silicon nanowire platform have been designed, fabricated and characterized using the theoretical tools introduced in Chapter 2 as well as the PLC technology introduced in Chapter 3. In this chapter, two types of devices are addressed, namely echelle gratings based multi/demultiplexers and photonic crystal slabs.

4.1 Echelle Gratings

With the dramatically increased demand for bandwidth in fiber communication systems, wavelength division multiplexing (WDM) technique became the most efficient way to increase the capacity of the current fiber systems without necessity to bury additional fibers. Multi/demultiplexers are key components for WDM networks. Among various types of these wavelength selective devices, arrayed waveguide gratings (AWGs) [1-2] and echelle gratings [3-5] (also called etched diffraction gratings, EDGs), which are based on PLC technology, are the most attractive ones due to their intrinsic advantages, such as large channel numbers, high reliability, low loss, and mass production capability. Fig. 4.1 gives a schematic of an EDG demultiplexer. An EDG demultiplexer utilizes the dispersion property of a deeply etched concave grating to separate signals with different wavelengths. In this figure, one can see that compared to an AWG, which consists of two symmetical free propagation regions (FPR) and an array of waveguides in between, the EDG is potentially more compact due to the shared FPR by both input and output paths. More importanly, with increasing channel density, it’s easier to increase the number of diffraction grating facets than the number of the arrayed waveguides in an AWG while still maintaining relatively compact size.

Fig. 4.1 Sketch of an EDG demultiplexer.

Page 42: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

34

4.1.1 Basic Principles

An EDG based on the Rowland mounting is illustrated in Fig. 4.2. The Rowland circle has the focusing ability as follows [3]: when the light is input from a point located on the circle and reflected by another tangential circle with twice of its radius, it will be refocused onto this same Rowland circle. Additionally, the concave grating based on the second (larger) circle will separate different wavelengths to be refocused on different points situated on the Rowland circle. Hence, all of the input and output waveguides can be positioned on the circle, as shown in Fig. 4.2.

Fig. 4.2 The schematic of an EDG based on the Rowland circle.

The well-known grating equation can be written as:

(sin sin )eff i dn mθ θ λΛ + = (4.1)

Here neff is the effective refractive index of the slab mode, Λ is the grating period, m is the diffraction order, θi and θd are the incident and diffracted angles, respectively. The linear dispersion (LD) can be expressed by [6]:

2 (sin sin )cos

gRC i d

d eff

nrLDn

θ θλ θ

+= (4.2)

where rRC is the radius of the Rowland circle, ng is the group index of the slab mode,

which is defined by ( )g eff effn n dn dλ λ= − . It should be noticed that for

Page 43: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

35

conventional EDGs based on silica or III-V materials, the term ng/neff is usually neglected, since the dispersion of the effective index is small. When it comes to silicon nanowire case, where the effective refractive index of the slab mode varies drastically with the wavelength, this term must be taken into account. Thus the main parameters for an echelle grating can be determined using both the above equations.

4.1.2 Improvement of Diffraction Efficiency

When the input signals are reflected on the grating facets, a part of light may transmit through the interfaces. This is particularly serious when the device is based on low refractive index contrast materials. Even for high contrast case, such as silicon/air interface, the theoretical reflection coefficient calculated from Fresnel formula is around 30%, still quite low. One way to improve the diffraction efficiency is coating with metal thin film on the backside of the grating facets [7-8]. This of course increase the reflection rate to a large extent, but to evaporate metal films on the surfaces perpendicular to the wafer is not an easy task. Another efficient way is to introduce the total internal reflection (TIR) design of the facets [9-10], which can greatly improve the diffraction efficiency without bringing additional fabrication steps.

Fig. 4.3 Geometries for two types of facet designs.

The grating facets with the TIR design are shown in Fig. 4.3 in comparison with the conventional design. The input light is propagating in such a way that it experiences two total internal reflections and is diffracted back without loss. Thus this type of design provides an efficient way to improve the diffraction efficiency.

In this thesis, we designed one simple EDG demultiplexer with the TIR facet design, and fabricated together with another one which had the same structural parameters but with the conventional facet design so as to make an evaluation of the improvement (Paper II). In our design, the thickness of the Si slab is 250nm. Only the transverse electric (TE) polarization is considered here. At the central wavelength of 1550nm, the effective refractive index and group index are 3.03 and 3.73, respectively. The diffraction order is 6, θi and θd are 45° and 41°. The channel spacing is 8nm, and

Page 44: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

36

the distance between the output waveguides is 4μm. Here we only put three output waveguides for simplicity reason. It’s very convenient to add more output waveguides along the Rowland circle due to the linear dispersion property of the device. By using Eq. 4.1, the calculated grating period is 2.25μm.

In the fabrication, EBL lithography is applied. A positive tone resist ZEP520A is used. By using positive resist, the exposure for large area of FPR is avoided and consequently the proximity effect to the grating facets is reduced. After the pattern defining, ICP-RIE is used for pattern transfer. Finally the sample is cleaved to a 2-3mm-wide pieces and characterized using the end-fire method illustrated in Chapter 3.

Fig. 4.4 gives the comparison of the facets details of the two designs with help of scanning electron microscope (SEM). The measurement results of the fabricated demultiplexers with two different facet geometries are given together with the simulation result in Fig. 4.5.

Fig. 4.4 SEM pictures of the fabricated devices facets of the (a) conventional grating and (b) TIR grating.

Fig. 4.5 Comparison of the measured spectra for the three channels of the two different facet geometries. The dot line represents the TIR structure, the dash line represents the conventional structure, and the solid line represents the calculated spectrum, which has been shifted about -6dB for comparison.

(a) (b)

Page 45: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

37

The measured spectra have been normalized with the straight waveguides patterned beside the devices. It can be clearly seen that the diffraction efficiencies for all three channels have been increased for more than 3dB for the EDG with TIR-type facets, compared with the one with conventional design. This improvement is comparable with the same TIR design introduced to EDG based on InGaAsP/InP waveguides [5]. Another type of facets based on Bragg reflectors was also proposed for silicon nanowire based EDG [11], with similar improvement of efficiency. The Bragg grating can also provide almost hundred percent efficiency of reflection. Compared with TIR design, it is more wavelength sensitive.

Fig. 4.6 The loss of the EDG with TIR grooves versus the refractive index of the slab.

It should be mentioned that even with the total internal reflection design, the diffraction efficiency can not be as high as 100% in practice. This can be explained by the finite groove size and Goos-Hanchen (GH) shift introduced by the total internal reflection [12]. For example parts of the incident light illuminate on one side of the facet, close to the corner, and by GH shift they will hit the corner and can not be reflected back. This brings additional loss to the device. Fig. 4.6 gives the loss value as the function of the ratio between the refractive indices of the slab and air (since the critical angle, the minimum angle at which total internal reflection occurs, is determined by this ratio). Here the efficiency is calculated by an improved scalar integral method which takes the GH-shift into account. Together provided is the result calculated by boundary element method (BEM) for comparison [12-14]. In this figure, the calculation results have been divided into three regions. In region A, the refractive index is small and the critical angel is hence very large. The loss originates mainly from the transmission through the interface. While in region B, where the refractive index is high enough for total internal reflection, the loss is mainly influenced by the GH shift mentioned above. When the refractive index keeps increasing into region C,

Page 46: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

38

the grating period becomes smaller, which may reduce the accuracy of the scalar integral method, causing some discrepancies from the BEM method.

4.1.3 Echelle Grating based Triplexer

The communication industry has been experiencing dramatic development during the last decade. Triple-play service (TPS) is believed to be a promising business model for the network providers [15-16], which delivers integrated services with voice, data and video through a single network. In the access networks, the copper-based technologies such as digital subscriber line (DSL) or cable modem fail to provide sufficient bandwidth for the increasing requirement, and optical fibers are deployed to meet the bandwidth demand. For the fiber-to-the-home (FTTH) schemes, passive optical networks (PONs) are most favorable in terms of maintenance and operation. It is a type of tree topology with a remote node (RN) located between the central office (CO) and the subscribers. As shown in Fig. 4.7, in a PON network, the optical line terminal (OLT) at the CO transmits and receives optical signals to and from the optical network terminals (ONT) at the homes of the subscribers.

Fig. 4.7 A passive optical network (PON).

In one of the possible solutions, the three wavelength channels in PON are, according to the ITU G.983 standard, 1310nm for upstream voice and data, 1490nm for downstream voice and data and 1550nm for downstream video, respectively, as shown in Fig. 4.8. A triplexer is a multi/demultiplexer which assigns the 1490nm and 1550nm download signals to the ONT and collects the 1310nm upload signal from the ONT. It is a key component for the TPS based on the current PON schemes.

There have been many designs of triplexers, based on different materials and structures. Most of the commercially available products are based on thin film filters, which provide low loss, low crosstalk and large bandwidth, but the problem with this kind of devices is that they are expensive and difficult to package, thus they are not suitable for building up the cost-efficient network infrastructure. As mentioned earlier, PLC is a promising platform in terms of mass production and cost reduction. Triplexers based on PLC have been proposed in form of cascaded directional couplers

Page 47: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

39

[17], cascaded multimode interference couplers [18], AWGs [19], planar lenses [20], photonic crystals [21], or combination of these wavelength selective components [22-23].

Fig. 4.8 Wavelength assignment for PON.

In this thesis we present a triplexer based on cross-order echelle grating demultiplexer, fabricated on our amorphous silicon nanowire platform. Usually the free spectral range (FSR) of an AWG or EDG is hard to reach as large as around 250nm ( from 1310nm to 1550nm), otherwise the order needs to be very low. For an EDG, a low diffraction order will result to a small facet size, thus increase the required accuracy in fabrication. There have been methods proposed to increase the FSR, for example, to suppress the diffraction efficiency for the orders which are adjacent to the operated order by chirping the diffraction orders for each specific facet (Paper III). However it is still difficult to cover such a large wavelength range. Here we utilize a cross-order design which has been applied to similar devices using AWG design[19], to cover the whole spectral range with a single EDG (Paper IV and Paper V).

When we look at Eq. 4.1, the product of diffraction order and wavelength is a constant value when the grating period is decided. Thus, for a lower order m1, a longer wavelength λ1 will be demultiplexed, while for a higher order m2=m1+i (i is an integer), a shorter wavelength λ2 will be demultiplexed. By carefully selecting m1 and m2, the three channels at 1310nm, 1490nm and 1550nm can be demultiplexed by a single EDG using two diffraction orders. Fig. 4.9 gives the schematic of the presented triplexer.

The design parameters are chosen as follows: m1=5 for channel 1 and channel 2 (1550nm and 1490nm), m2=6 for channel 3 (1310nm), θi =45° and θd =43° ( for channel 1, 1550nm). The radius of the Rowland Circle R=70μm, thickness of the Si layer t=250nm, nSi=3.5, nSiO2=1.46, Λ=1.84μm. The calculated spectral responses are given in Fig. 4.10 for both two orders. For our triplexer application we use the first and second channels from Fig. 4.10(a) and the third channel from (b).

Page 48: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

40

Fig. 4.9 Schematic of the EDG triplexer.

1480 1500 1520 1540 1560-70

-60

-50

-40

-30

-20

-10

0

Wavelength(nm)

Effi

cien

cy(d

B)

CH1CH2CH3

1260 1280 1300 1320 1340 1360

-80

-60

-40

-20

0

Wavelength(nm)

Effi

cien

cy(d

B)

CH1CH2CH3

(a) (b) Fig. 4.10 Simulated spectral responses around (a) 1550nm using fifth order and (b) 1310nm using sixth order.

The fabrication and characterization are similar as the former EDG demultiplexers. In our measurement setup, outputs from an amplified spontaneous emission (ASE) broadband source (from 1.53μm to 1.61μm), a superluminescent diode (from 1.25μm to 1.35μm) and a tunable laser (from 1.48μm to 1.6μm), are used as the light sources in order to cover all the wavelengths. The average loss of all channels is around -10dB, and the crosstalk is difficult to measure due to high system loss, but it can be estimated to be better than 15dB according to the experimental curves shown in Fig. 4.11 together with the SEM picture of the fabricated device. The loss mainly originates from the imperfect etching profiles of the grating facets, including the slightly tilted profile and roughness of the sidewalls. It should be also mentioned that due to the material dispersion, the refractive index of amorphous silicon is slightly different at different wavelengths. Thus the positions of the output waveguides have been corrected in the fabrication.

Page 49: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

41

1480 1500 1520 1540 1560

-25

-20

-15

-10

-5

Wavelength(nm)

Effi

cien

cy(d

B)

CH2CH1CH3

1295 1300 1305 1310 1315 1320 1325

-25

-20

-15

-10

-5

Wavelength(nm)

Diff

ract

ion

effic

einc

y(dB

)

CH3

Fig. 4.11 (a) SEM picture of the overall device and measured spectral responses of (b) all three channels for order 5, (c) channel 3 for order 6.

4.1.4 Polarization Dependence Issue

Together with its many advantages like compact size and CMOS compatibility, silicon nanowire platform has some disadvantages. One of the main drawbacks is the polarization dependency which makes that the component can only work properly under one polarization state without any compensation scheme.

There have been proposed some polarization insensitive designs for demultiplexers such as AWGs and EDGs [24-25]. For an EDG, where the light travels mostly inside a 2D slab, the polarization dependency is especially serious, compared with AWG, where 3D waveguide structure is considered. In literature [25] J.J. He proposed a polarization compensation scheme realized by an integrated shallow-etched compensator, which is suitable for both AWG and EDG. In his paper, the compensation is made for the central wavelength, which is not enough for the silicon nanowire case. For the silica or InP platforms, the dispersions for TE and TM polarizations are almost the same, while for silicon nanowire, they differ very much. Thus the channel spacing of the device for different polarizations will be different, which makes that the central wavelengths for all channels under two polarizations can not be overlapped at the same time. A modified design should be introduced in this case to compensate the difference for the whole spectral range (Paper VI).

(a)

(b) (c)

Page 50: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

42

First let’s look at the compensation method proposed in [25]. Fig. 4.12 shows the sketch of the EDG with the proposed compensator. Here the compensation region is Q1P1Pz, which is formed by shallow etching of the cladding, resulting in a different effective index for the slab mode. Thus this prism-like region will cause a shift for the output channels. When we consider the output wavelength corresponding to the input waveguide, the phase shift after the light traveling back should satisfy the following equation:

4 [ ( ' ) ] / 2n L n n l mkπ λ πΔΦ = Δ + − Δ = (4.3)

where ΔΦ is the phase difference between the light reflected by any two grating facets centered at point Pi and Pi+k, ΔL and Δl are the length differences defined by ΔL=IPi+k-IPi and Δl=Pi+kQi+k-PiQi. n and n’ are the effective refractive indices in the slab region and the compensating region, respectively. By solving Eq. 4.3 both for TE and TM polarizations, we can obtain the wavelength difference between the two polarizations:

( ' )( ' )

n n nn n n

γλ λγ

Δ − Δ − ΔΔ =

− − (4.4)

where Δn is the difference of the effective refractive indices between the two modes,

and the compensation coefficient γ is defined by lL

γ Δ= −

Δ . From Eq. 4.4, one can

easily compensate the polarization dependent wavelength difference simply by putting

'n

n nγ Δ=Δ − Δ

(4.5)

Fig. 4.12 A schematic of an EDG with the polarization compensation region Q1P1Pz, where z is the total number of the grating facets and I is the incident point.

Here we only satisfy the polarization independence at the wavelength which is corresponding to the input waveguide as mentioned above. For the conventional materials, since the dispersion relations or, more intuitively the channel spacings, are

Page 51: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

43

the same for both two polarizations, the spectra responses for these two modes will overlap perfectly with each other. However, for silicon nanowires, when we look back at Eq. 4.2, the linear dispersion depends on the ratio between the effective refractive index and the group index. Hence the channel spacing varies from one polarization to the other, which makes it impossible to overlap the two spectra simply by compensate the polarization dependent wavelength shift (PDλ) at one specific wavelength.

We can also consider the compensation through this way, to find out a feasible compensation region, the compensation coefficient γ determined by Eq. 4.5 has to be constant. This is usually not the case for silicon nanowires when considering their structural dispersion, which makes the effective index changing with the wavelength. We rewrite Eq. 4.3 in a more general form:

4 [ ( ' ) ] / 2 , 1,2i i i i in L n n l m k iπ λ πΔΦ = Δ + − Δ = = (4.6)

where i=1 for TE mode and i=2 for TM mode. Here the diffraction orders for two polarizations are not necessarily the same, in order to compensate the large difference between the two modes. We obtain the PDλ from Eq. 4.6:

1 2 2 22 1 1

2 1 1 1

[ ( ' ) ]( 1)[ ( ' ) ]

m n n nm n n n

γλ λ λ λγ

+ −Δ = − = −

+ − (4.7)

By considering the structural dispersion, the effective refractive index can be written by:

0 0( )dnn nd

λ λλ

= + − (4.8)

Then we can define the coefficient γ as:

2 1 1 2

2 1 1 21 2 2 2 1 1

2 1 1 2

1 1' '( ' ) ( ' ) 11

m n m nm n m nm n n m n nm n m n

γα

−= = =

−− − − − +− +−

(4.9)

where α is defined by:

1 22 10 1 20 2 1 0

0

1 2 02 10 1 20 2 1 0

' '( ' ' ) ( )( ) ( )( )( ) ( )( )

dn dnm n m n m m A Bd ddn dn C Dm n m n m md d

λ λ λ λλ λαλ λλ λ

λ λ

− + − − + −= =

+ −− + − − (4.10)

From Eq. 4.10 one can see that the coefficient γ will be constant as long as the following relation is satisfied: A/C=B/D.

We make a scan over different thicknesses and diffraction orders in order to find optimized parameters to satisfy the above relation. Fig. 4.13 gives one example when we choose the diffraction orders to be 11 and 12 for TM and TE polarizations, respectively. The coefficient η is defined by η=AD/BC. The PDλ at wavelength 1.5μm and 1.6μm are also given when it is compensated at the central wavelength 1.55μm. From this figure one can choose either t=236nm or t=247nm as the thickness of the silicon slab.

Page 52: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

44

0.2 0.22 0.24 0.26 0.28 0.3-15

-10

-5

0

5

10

Thickness of the Si slab(μm)

A.U

. for

η a

nd γ

, nm

for Δ

λ

ηγΔλ1Δλ2

Fig. 4.13 The value of γ, η and Δλ1 at λ=1.5μm and Δλ2 at λ=1.6μm for different Si slab thicknesses, when m1=12 and m2=11.

Here we choose t=247nm as the thickness of the slab, and the central wavelength 1.55μm. Consider 9 channels with channel spacing to be 10nm. The two outermost channels are at 1.51μm and 1.59μm. We show the spectral responses for the central channel and the 9th channel in Fig. 4.14. It can be seen that when the PDλ at central channel is compensated, it is less than 0.2nm for the 9th channel, which is quite low value for a coarse WDM application.

1542 1546 1550 1554 1558

-40

-30

-20

-10

0

Wavelength(nm)

Diff

ract

ion

effic

ienc

y(dB

)

TETM

1582 1586 1590 1594 1598

-40

-30

-20

-10

0

Wavelength(nm)

Diff

ract

ion

effic

ienc

y(dB

)

TETM

Fig. 4.14 Spectra of TE and TM modes for (a) the central channel and for (b) the 9th channel for the present compensation scheme.

However, the current method also has its limitations. One can see that in Fig. 4.13 the value of γ is always around 4, meaning a large compensation area. This means that the

angle 1PIPz∠ in Fig. 4.12 can not be too large, thus increases the loss by about

0.5dB-1dB compared with an uncompensated design. The sidelobes are also increased by about 15dB, however are still acceptable as can be seen in Fig. 4.14.

The above method is still based on one set of grating facets. Here we propose another method by considering the compensation through a different way. The grating equation requires different grating structures (incident angle, diffraction angle, and

(a) (b)

Page 53: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

45

grating period) for different effective indices. Thus, by utilizing polarization beam splitter (PBS) to separate these two polarizations and adding another set of grating facets, the two spectra can be overlapped by carefully selecting the parameters.

Fig. 4.15 Schematic of the compensation design by two concave gratings.

The polarization compensating scheme is schematically illustrated in Fig. 4.15. The first grating in this figure consists of Bragg gratings as its facets [11]. However, these Bragg gratings are not just reflectors, they also act as the PBS simultaneously. The Bragg gratings are designed in such a way that they are highly reflective for one polarization, but transparent for another polarization. The transmitted polarized light will incident on the second grating and be reflected back. The access waveguides are shared by these two echelle gratings.

Assume that for the first grating, we have the following parameters: incident and diffracted angles θi1 and θd1, radius of the Rowland circle rRC1, effective index for the corresponding polarization neff1. Now consider the second grating with the following parameters: rRC2, θi2, θd2, and neff2. Since they have the same input and output waveguides, the following relation must be satisfied (the distance from the input point to the output point is fixed):

1 1 1 2 2 22 sin( ) 2 sin( )RC i d RC i dIO r rθ θ θ θ= − = − (4.11)

Besides, in order to have the same spectral responses, these two gratings must have the same channel spacing (or linear dispersion, see Eq. 4.12), i.e.:

1 21 1 1 1 2 2

1 1 2 2

2 (sin sin ) 2 (sin sin )cos cos

g gRC i d RC i d

d eff d eff

n nr rLDn n

θ θ θ θλ θ λ θ

+ += = (4.12)

Thus, once the radius of the Rowland circle for the second grating is chosen, the incident and diffracted angles can be calculated by combining the above two equations.

Now consider a real case based on the silicon nanowire platform. The thickness of the Si slab is still chosen as 250nm, with the refractive index to be 3.6. Through 2D-FDTD method, we calculate the transmission and reflection efficiencies for a deep-etched Bragg grating on the slab. This Bragg grating is designed to reflect TM polarized light while keeping transparent for TE polarized light. The optimized period

Page 54: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

46

is 413nm, with the width of the air trench to be 45nm, the period number is 6. Fig. 4.16 shows the electric or magnetic field distribution of the light with different polarizations when passing through the grating, and the reflection (transmission) rate for TM (TE) mode.

The incident and diffracted angles for the second grating are θi2 =45°, θd2 = 41°, and the radius of Rowland circle rRC2=200μm. The effective index and group index for TE polarization are 3.051 and 3.751, respectively at the central wavelength 1550nm. Taking a diffraction order of 10, the period of the echelle graing is 3.727μm. This grating can be made of either Bragg gratings or TIR facets so as to provide high efficiency. For a channel spacing of 10nm, the separation between output waveguides is 5.73μm, calculated by Eq. 4.2. The radius of the Rowland circle for TM polarization is chosen as 190μm, which gives enough space between these two concave gratings for putting Bragg gratings. Thus, the calculated incident and diffracted angles are θi1 =32.45°, and θd1 =28.24°. The grating period is 6.628μm. These two echelle gratings give the same central wavelength and channel spacing, i.e., the same spectral responses, and the layout is shown in Fig. 4.17.

1500 1520 1540 1560 1580 16000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Wavelength(nm)

Ref

lect

ion/

Tran

smis

sion

Effi

cien

cy

TETM

Fig. 4.16 The electric or magnetic field calculated by 2D-FDTD inside the slab with the designed grating for (a) TE polarization and (b) TM polarization; (c) The reflection or transmission efficiency of the grating.

It should be noticed that due to the difference between the radii of the two Rowland circles, the positions of the other output waveguides are not exactly the same. Considering an edge channel which is 50nm away from the central one, the shift of the output position is only around 170nm, 3% of the channel spacing, which is still quite acceptable. The shifts of other channels will be smaller than the edge one. By overlapping the position of the outermost channel instead of the central channel in the design procedure, the maximal shift can be further reduced.

(a)

(b)

(c)

Page 55: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

47

Fig. 4.17 The layout of the double-grating system, I and O are the input and output (corresponding to the central wavelength 1550nm) points, R1, G1 and R2, G2 are the Rowland circles and concave gratings for TM and TE polarization, respectively.

4.2 Photonic Crystals

As an analogy to the natural crystals which present periodic potentials to electrons propagating through them due to their periodic arranged atoms or molecules [24], crystals for photons have been proposed in 1987 by Yablovovitch and John [25-26]. Photonic crystals (PhCs) are media with periodically modulated dielectric constants, which can strongly manipulate light propagations inside them. Due to their unique dispersion properties and so called photonic band gaps, various photonic devices based on PhCs have been proposed and experimentally verified, such as cavities [27-28], waveguides [29], polarization beam splitters [30-31], demultiplexers [32-33], etc. Photonic crystals have been the object of intensive research during recent years leading to developments of many different novel devices and applications.

Photonic crystal microcavities are usually based on defects in photonic band gaps [34], or operated on the band edges with extremely small group velocities [35]. In both cases very high Q values can be achieved. Here we present a microcavity based on a pillar-type photonic crystal on amorphous silicon platform, with theoretical analysis and experimental demonstration (Paper VII).

4.2.1 Design

Two-dimensional (2D) PhCs, are either based on arrays of dielectric pillars or air holes. A pillar-type PhC has some advantages: it is easier to fabricate (deep etching through holes is comparably more difficult), for some applications like sensing the

Page 56: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

48

void spaces are easier to be filled with fluids, and it tends to have a large band gap for TM modes which is more suitable in some cases such as quantum cascade laser based on intersubband transitions [36].

In our design we refer to a square lattice of pillars with circular shape. Fig. 4.18 shows two types of structures operating on different band edges (X or M point).

Fig. 4.18 Square lattice of dielectric rods in air with different orientations: (a) X structure and (b) M structure.

The first two bands of TM polarization are also shown in Fig. 4.19. One can see that there are two band edges of the first band, either along ΓX or ΓM direction. For example at M point, the edge frequency is around 0.31 (normalized frequency), thus one can choose the ratio between the lattice constant and working wavelength to be close to 0.31, so as to make the group velocity of the working wavelength in the microcavity very small.

Fig. 4.19 The first two TM bands of the square lattice of rods.

3D FDTD calculations have been performed for these cavities. The fundamental mode pattern is given in Fig. 4.20 on both, vertical and horizontal directions. The refractive index of the pillars is set to 3.5. In order to confine the resonant light efficiently, a “wall” region is required around the “core” region. Here it is achieved by adjusting the period of the adjacent region around the core region, as shown in Fig. 4.21. One can see that due to the frequency difference of the band edges for two regions, the band edge of the core region is already within the gap of the wall region,

Page 57: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

49

thus makes the light tightly confined by the walls.

Fig. 4.20 Top and side views of the computed mode pattern. The solid line in the side view is the interface between the pillars and the substrate.

Fig. 4.21 The designed structure and its band graph: (a) sketch of the cavity, and (b) band graph, the inset is the calculated mode pattern by 3D FDTD.

(a)

(b)

Page 58: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

50

4.2.2 Fabrication and Characterization

In the fabrication, a layer of silica (~2μm) and amorphous silicon (500nm) are deposited on the wafer. The measured thickness of the Si layer after deposition is 492nm. The refractive index is around 3.6. The design parameters are based on these values. The period a=556nm, DA1=787nm, DA2=898nm.

The sample is first baked in a HMDS furnace before spinning with photoresist, in order to improve the adhesion with photoresist, since the pillars occupy relatively small areas, which are easy to fall off. The sample is then patterned with EBL, and etched by ICP-RIE. Finally the resist is stripped by O2 plasma. Fig. 4.22 shows the fabricated structures using SEM. The sample is cleaved and mounted onto a 3-axis motion translation stage for characterization by end-fire method. A tunable laser ( from 1460nm to 1600nm) is used as the light source. Microcavities with the same lattice constant but different filling factor (r/a) are measured.

Fig. 4.22 SEM pictures of the fabricated cavities.

Fig. 4.23 gives some measurement results of the spectral responses of TM mode. In (a) spectra for cavities with and without wall regions are given. It can be seen that due to the better light confinement, the cavity with walls shows a much better profile of the spectral response. The inset in (a) is the enlarged curve of the fundamental mode, and by fitting with a Lorentzaian curve, the Q value can be given: around 7350 in this case. The split of the peak is probably caused by the Fabry-Perot resonance between the waveguide facets. A 35nm shift is found between the measured and simulated spectra, which is due to the fabrication error. In (b) different cavities are compared. The diameters of the rods are varying from 262nm to 307nm, with an interval of 15nm. The sensitivity is roughly 1.35nm/1nm (spectral shift/diameter shift). Thus this kind of structure is very suitable for sensing applications. For example, when the rods are attached with certain biomaterial, the effective diameter is changing according to the thickness and refractive index of the attached layer, consequently the spectrum will also shift with a very high sensitivity. After dipping the sample into water, the performance is not deteriorated. The Q value is a little higher, ~7800, and the peak has been shifted by 120nm. This means that this kind of structure can perfectly work with different solutions as sensitive sensor element.

Page 59: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

51

Fig. 4.23 The measured spectral responses under TM polarizations. (a) upper: spectrum of a cavity without walls, bottom: with walls. The inset is the enlarged part of the first mode. (b) The spectra for cavities with different filling factor.

References

[1] M. K. Smit, and C. Van Dam, “Phasar-based WDM-devices: Principles, design, and application”, IEEE J. Select. Top. Quan. Elec., 2(2), 236-250, 1996.

[2] T. Fukazawa, F. Ohno, and T. Baba, “Very compact arrayed-waveguide-grating demultiplexer using Si photonic wire waveguides”, Jpn. J. Appl. Phys., 43(5B), L673-L675, 2004.

[3] M. Hutley, “Diffraction Gratings”, New York, Academic, 1982.

[4] C. Cremer, G. Ebbinghaus, G. Heise, R. Muller, M. Schienle, and L. Stoll, “Grating Spectrograph in InGaAsP/InP for Dense Wavelength Division Multiplexing”, Appl. Phys. Lett. 59, 627-629, 1991.

[5] J.-J. He, B. Lamontagne, A. Delage, L. Erickson, M. Davies, and E. S. Koteles, “Monolithic integrated wavelength demultiplexer based on a waveguide Rowland circle grating in InGaAs/InP”, IEEE J. Lightwave Technol., 16(4), 631-638, 1998.

[6] J. Brouckaert, W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets, “Planar concave grating demultiplexer fabricated on a nanophotonic silicon-on-insulator platform”, IEEE J. Lightwave Technol., 25(5), 1269-1275, 2007.

[7] E. Gini, W. Hunziker, and H. Melchior, “Polarization independent InP WDM multiplexer/demultiplexer module”, IEEE J. Lightwave Technol., 16(4), 625-630, 1998.

[8] Z. Shi, J.-J. He, and S. He, “Waveguide echelle grating with low polarization-dependent loss using single-side metal-coated grooves”, IEEE Photon. Tech. Lett., 16(8), 1885-1887, 2004.

[9] M. S. D. Smith, and K. A. McGreer, “Diffraction gratings utilizing total internal reflection facets in Littrow configuration”, IEEE Photon. Tech. Lett., 11(1), 84-86,

(a) (b)

Page 60: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

52

1999.

[10] S. Y. Sadov, and K. A. McGreer, “Polarization dependence of diffraction gratings that have total internal reflection facets”, J. Opt. Soc. Amer. A, 17(9), 1590-1594, 2002.

[11] J. Brouckaert, W. Bogaerts, S. Selvaraja, P. Dumon, R. Baets, and D. V. Thourhout, “Planar concave grating demultiplexer with high reflective Bragg reflector facets”, IEEE Photon. Tech. Lett., 20(4), 309-311, 2008.

[12] J. Song, “Electromagnetic simulation and design of etched diffraction grating demultiplexers”, doctoral thesis, ISSN 1653-5146, KTH, 2008.

[13] D. W. Prather, M. S. Mirotznik, J. N. Mait, “Boundary integral methods applied to the analysis of diffractive optical elements”, J. Opt. Soc. Am. A, 14(1), 34-43, 1997.

[14] P. K. Banerjee, and R. Butterfield, “Boundary Element Methods in Engineering Science”, New York, McGraw-Hill, 1981.

[15] S. J. Park, C. H. Lee, K. T. Jeong, H. J. Park, J. G. Ahn, and K. H. Song, “Fiber-to-the-home services based on wavelength-division-multiplexing passive optical network”, IEEE J. Lightwave Technol., 22(11), 2582-2591, 2004.

[16] H. Imam, J. P. Rasmussen, and M. Pearson, “Integrated bi-directional transceivers for access applications based on a cost-effective PLC hybridized platform”, Optoelectronic Integrated Circuits VIII, Proc. of SPIE, 6124, 612412, 2006.

[17] E. H. Lee, S. G. Lee, B. H. O, S. G. Park, and K. H. Kim, “Fabrication and integration of micro/nano-scale optical waveguides and photonic devices for application-specific planar optical integrated circuit board”, Optoelectronic Integrated Circuits VIII, Proc. of SPIE, 6124, 612408, 2006.

[18] J. K. Hong, and S. S. Lee, “PLC-based novel triplexer with a simple structure for optical transceiver module applications”, IEEE Photon. Tech. Lett., 20(1), 21-23, 2008.

[19] T. Lang, J.-J. He, and S. He, “Cross-order arrayed waveguide grating design for triplexers in fiber access networks”, IEEE Photon. Tech. Lett., 18(1), 232-234, 2006.

[20] X. Li, G. R. Zhou, N. N. Feng, and W. Huang, “A novel planar waveguide wavelength demultiplexer design for integrated optical triplexer transceiver”, IEEE Photon. Tech. Lett., 17(6), 1214-1216, 2005.

[21] Y. Shi, D. Dai, and S. He, “Novel ultracompact triplexer based on photonic crystal waveguides”, IEEE Photon. Tech. Lett., 18(21), 2293-2295, 2006.

[22] S. Bidnyk, D. Feng, A. Balakrishnan, M. Pearson, M. Gao, H. Liang, W. Qian, C. Kung, J. Fong, J. Yin, and M. Asghari, “Silicon-on-insulator-based planar circuit for passive optical network applications”, IEEE Photon. Tech. Lett., 18(22), 2392-2394,

Page 61: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

53

2006.

[23] X. Hong, and W. Huang, “Design optimization of integrated BiDi triplexer optical filter based on planar lightwave circuit”, Opt. Express, 14(11), 4675-4686, 2006.

[24] J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade, “Photonic crystals: Molding the flow of light”, second edition, Princeton University Press, New Jersey, 2008.

[25] E. Yablonovitch, “Inhibited Spontaneous Emission in Solid-State Physics and Electronics”, Phys. Rev. Lett. 58(20), 2059-2062, 1987.

[26] S. John, “Strong localization of photons in certain disordered dielectric superlattices”, Phys. Rev. Lett. 58(23), 2486-2489, 1987.

[27] J. Vuckovic, and Y. Yamamoto, “Photonic crystal microcavities for cavity quantum electrodynamics with a single quantum dot”, Applied Phys. Lett., 82(15), 2374-2376, 2003.

[28] K. Srinivasan, P. E. Barclay, and O. Painter, “Experimental demonstration of a high quality factor photonic crystal microcavity”, Applied Phys. Lett., 83(10), 1915-1917, 2003.

[29] S. G. Johnson, P. R. Villeneuve, S. Fan, and J. D. Joannopoulos, “Linear waveguides in photonic-crystal slabs”, Phys. Rev. B, 62(12), 8212–8222, 2000.

[30] X. Ao, L. Liu, L. Wosinski, and S. He, “Polarization beam splitter based on a two-dimensional photonic crystal of pillar type”, Applied Phys. Lett., 89(17), 171115, 2006.

[31] V. Zabelin, L. A. Dunbar, N. Le Thomas, and R. Houdre, “Self-collimating photonic crystal polarization beam splitter”, Opt. Lett., 32(5), 530-532, 2007.

[32] A. Bakhtazad, and A. G. Kirk, “First-band S-Vector photonic-crystal superprism demultiplexer design and optimization”, IEEE J. Lightwave Technol., 25(5), 1322-1333, 2007.

[33] T. Niemi, L. H. Frandsen, K. K. Hede, A. Harpoth, P. I. Borel, and M. Kristensen, “Wavelength-division demultiplexing using photonic crystal waveguides”, IEEE Photon. Tech. Lett., 18(1), 226-228, 2008.

[34] O. Painter, R. K. Lee, A. Scherer, A. Yariv, J. D. OBrien, P. D. Dapkus, and I. Kim, “Two-dimensional photonic band-gap defect mode laser”, Science, 284, 1819-1821, 1999.

[35] K. Sakoda, K. Ohtaka, and T. Ueta, “Low-threshold laser oscillation due to group-velocity anomaly peculiar to two- and three-dimensional photonic crystals”, Optics Exp., 4(12), 481-489, 1999.

[36] H. Zhang, L. Dunbar, G. Scalari, R. Houdre, and J. Faist, “Terahertz photonic

Page 62: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

54

crystal quantum cascade lasers”, Optics Exp., 15(25), 16818-16827, 2007.

Page 63: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

55

Chapter 5

Conclusions and Future Work In summary, this thesis work focuses on the theoretical and experimental studies of photonic devices based on Si nanowire platform. As discussed before, Si is a robust platform for future integrated circuits with both electronic and photonic components. Some devices based on PLC technology, including echelle grating demultiplexers and photonic crystal cavities are addressed, with issues mainly related to their performances.

Some numerical methods, including Scalar Integration Method, Beam Propagation Method and Finite-difference Time-domain method, have been discussed and implemented in the design work.

Standard fabrication technology for integrated photonic circuits has been introduced. The amorphous silicon platform used in this thesis, is based on PECVD deposited films. The advantage of this technology lies in the flexibility when choosing the parameters of the films. The EBL has been applied as the pattern defining technology, which provides higher resolution than photolighography. The pattern transfer is realized by ICP-RIE. Two characterization methods have been discussed and applied, including end-fire method and vertical coupling method.

Echelle grating demultiplexers based on Si nanowire have been studied. The basic principles for designing the echelle graing demultiplexers are described. In order to increase the diffraction efficiency, TIR grooves are employed in the design. This type of grating has been fabricated together with the conventional one. The experimental results show an significant improvement of more than 3dB of the insertion loss. Echelle grating based triplexer for PON application has been designed and fabricated. By introducing the cross-order design, a large spectral range (~250nm) is covered by a single echelle grating, which makes the device more compact. Polarization dependence issue has also been addressed. It is a common disadvantage of Si nanowires that the performances of the device differ very much for two polarizations. Two different polarization compensation schemes have been proposed, by integrated shallow-etched compensator and PBS based on Bragg gratings, respectively. To our best knowledge, there have not been any designs for polarization insensitive echelle graing demultiplexers based on Si nanowire platform.

PhC based devices are also addressed. PhC cavities are very attractive due to the high Q value and compactness. A PhC cavity based on silicon pillars with high Q value has been designed and fabricated. The measured Q value is at the order of 104. The pillar-type structure is more favorable for sensing applications than the hole-type structure. The fabricated device has a high sensitivity against the change of the radius of the pillars or the refractive index of the background material. It also works well in solutions, which makes it very suitable for biosensing applications.

As a general conclusion, silicon photonics is one of the most promising

Page 64: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

56

technologies for future integrated circuits. Its many advantages, such as high confinement of light, low cost, COMS compatibility, make it excellent candidate for platform on which various devices can be integrated. The drawbacks of silicon arise from the indirect bandgap and lack of electro-optic effect. Researchers have been working on these issues and have come up with several novel solutions, like resorting to the stimulated Raman scattering effect in silicon, or integration with other active materials, etc. Great efforts still have to be made before real applications.

The future work includes: 1. The fabrication qualities still need to be improved. EBL errors due to

proximity effect can be further reduced by careful corrections. The sidewall angle and roughness caused by RIE etching are the main sources of the losses. The recipes need to be optimized.

2. The experimental verification of some designs, for example the polarization insensitive echelle grating demultiplexers can be carried out. The polarization compensator needs accurate alignment for second-step lithography, and the PBS based on Bragg gratings requires etching through small trenches. These should be optimized in order to obtain good results.

3. The aspect ratio of the PhC pillars, i.e. the ratio between height and radius, should be further increased in order to obtain better performances. Hard masks are necessary in this case, and the requirement for the sidewall angle is more critical. Some other devices such as demultiplexers based on PhC slabs should also be studied and fabricated.

4. Integration of silicon with other active materials recently gave some interesting results [1-3]. Very promising monolithic integration of InP on Si using epitaxial lateral overgrowth (ELOG) [4-5] should be further developed towards practical applications of ELOG technology for integrated device fabrication.

References

[1] H. Park, A. W. Fang, S. Kodama, and J. E. Bowers, “Hybrid Silicon Evanescent Laser Fabricated with a Silicon Waveguide and III-V Offset Quantum Wells”, Optics Exp., 13(23), 9460-9464, 2005.

[2] C. Manolatou, and M. Lipson, “All-optical Silicon Modulators Based on Carrier Injection by Two-photon Absorption”, J. Lightwave Technol., 24(3), 1433-1439, 2006.

[3] G. Roelkens, D. V. Thourhout, and R. Baets, “Laser Emission and Photodetection in an InP/InGaAsP Layer Integrated on and Coupled to a Silicon-on-Insulator Waveguide Circuit”, Optics Exp., 14(18), 8154-8159, 2006.

[4] F. Olsson, A. Aubert, M. Avella, J. Jimenez, C. A. Barrios, J. Berggren, and S. Lourdudoss, “Heteroepitaxy of InP on Silicon-on-Insulator for Optoelectronic Integratin”, ECS Transactions, 3(39), 23-29, 2007.

Page 65: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

57

[5] A. Lankinen, T. Tuomi, M. Karilahti, Z. R. Zytkiewicz, J. Z. Domagala, Y. Sun, F. Olsson, and S. Lourdudoss, “Crystal Defects and Strain of Epitaxial InP Layers Laterally Overgrowth on Si”, Crystal Growth & Design, 6(5), 1096-1100, 2006.

Page 66: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

58

Page 67: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

59

Chapter 6

Guides to the Papers

Paper I. A hybrid modeling for the theoretical analysis of reflections

in a multimode-interference coupler based on silicon-on-insulator

nanowires.

N. Zhu, D. Dai, and S. He, Opt. Comm. 281, 3099 (2008).

In this paper, a hybrid method combining the three-dimensional (3D) beam propagation method (BPM) and a 3D finite-difference time-domain (FDTD) method is proposed for the simulation of multimode-interference (MMI) couplers based on silicon nanowires. The present method is highly efficient for analyzing reflections properties of devices with large sizes, since it avoids the time-consuming computation with a simplex 3D-FDTD simulation. Calculations indicate that the reflected power is sensitive to the length and the width of the MMI coupler. Furthermore, the backward performance can be improved by introducing taper structures between the MMI section and the access waveguides.

Contribution of the author: Simulation and analysis, major part of

writing.

Paper II. Total internal reflection type echelle grating demultiplexer

based on amorphous silicon nanowire platform.

N. Zhu, J. Song, L. Wosinski, and S. He, SPIE Asia-Pacific Optical Communications (APOC) 2008, Oct. 26-31, 2008, Hangzhou, China. In this paper, we present an echelle grating demultiplexer based on amorphous silicon nanowire platform, with total internal reflection design of the grating facets. A pair of echelle grating demultiplexers, with and without the TIR facets were fabricated on the same chip. The measurement results indicate an improvement of the diffraction efficiency by more than 3dB for the grating based on the TIR design.

Contribution of the author: Fabrication and characterization of the device,

major part of writing.

Page 68: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

60

Paper III. Etched diffraction grating demultiplexers with large

free-spectral range and large grating facets.

J. Song, N. Zhu, J.J. He, and S. He, Photon. Tech. Lett. 18, 2695 (2006). In this paper, we propose a design to obtain both large grating facets and large free-spectral range (FSR) for etched diffraction grating demultiplexers, by using the optimal chirped diffraction orders for different facets. By adopting a large diffraction order, the large facet size can be achieved, which contributes to lower fabrication difficulty and lower polarization-dependent loss. However this must lower the FSR of the device in the meantime. The present design reduces the envelope intensities for adjacent diffraction orders, thus the FSR can overlay the whole diffraction envelope for the operated order. Calculations indicate that the extinction ratio between the operated and adjacent orders can be as high as 35dB or so, which is acceptable for those channels at the operated order working properly at the adjacent FSRs, which are originally for channels at the adjacent orders.

Contribution of the author: Participation in the simulation and analysis.

Paper IV. Experimental Demonstration of a cross-order echelle

grating triplexer based on amorphous silicon nanowire platform.

N. Zhu, J. Song, L. Wosinski, S. He, and L. Thylen, Optics Letters, 34(3), 383-385, 2009.

In this paper, we demonstrate the design, fabrication and characterization of an ultracompact echelle grating triplexer based on amorphous silicon nanowire platform. The present device is based on the cross-order design, which utilizes different diffraction orders to cover a large spectral range from 1.3μm to 1.5μm. The 3 channels located at 1310nm, 1490nm and 1550nm can be demultiplexed by a single echelle grating demultiplexer, with a footprint of 150μm×130μm.

Contribution of the author: Device design, simulation, fabrication and

characterization, major part of writing.

Paper V. Technology challenges for monolithically integrated

Page 69: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

61

waveguide demultiplexers.

L. Wosinski, L. Liu, N. Zhu and L. Thylen, “Technology challenges for monolithically integrated waveguide demultiplexers”, Chinese Optics Letters, Focus Issue on Silicon Photonics, 7(4), 2009. In this paper, a short overview of integrated waveguide demultiplexers for different applications in future highly integrated optical communication systems is presented. Some devices such as arrayed waveguide gratings and echelle gratings based on amorphous silicon nanowire technology are described.

Contribution of the author: Participation of the fabrication and

characterization, manuscript discussion.

Paper VI. Design of a polarization-insensitive echelle grating

demultiplexer based on silicon nanophotonic wires.

N. Zhu, J. Song, L. Wosinski, and S. He, Photon. Tech. Lett. 20, 860 (2008). In this paper, we present a polarization compensation design of an echelle grating demultiplexer based on silicon nanowires. The polarization-dependent wavelength shift of the device has been compensated at the whole spectral range, by introducing a shallow-etched compensator in the free propagation region. For a design with the free spectral range from 1510nm to 1590nm, when the wavelength shift at the central channel 1550nm is completely compensated, the maximal shifts at the two edge channels are only 0.14 and 0.15nm, which are acceptable.

Contribution of the author: Design and numerical simulation, major part

of writing.

Paper VII. A pillar-array based 2-Dimensional photonic crystal

microcavity.

T. Xu, N. Zhu, M. Xu, H. Ruda, L. Wosinski, submitted to Appl. Phys. Lett.. In this paper, a high quality factor (Q) cavity based on pillar arrays is experimentally demonstrated. The cavity is formed by a square lattice of silicon pillars of finite height. The measured Q of the fundamental mode is around 7300 and 7800 in air and

Page 70: Design, Fabrication and Characterization of Planar …kth.diva-portal.org/smash/get/diva2:221400/FULLTEXT01.pdfDesign, Fabrication and Characterization of Planar Lightwave Circuits

62

in water, respectively. The pillar array structure has a large percent of connected void space and confines TM mode effectively, which makes it very suitable for applications such as bio/chem sensing and quantum cascade laser.

Contribution of the author: Fabrication of the device, manuscript

discussion.