79
3 Curso: Mecatrônica Módulo: Carga Horária: Docente: Turno: Turma: Material Instrucional especialmente elaborado pelo Prof. Edson Grisi para uso exclusivo do CETEB-CA.

tecnicas_digitais_mt

Embed Size (px)

DESCRIPTION

tecnicas_digitais

Citation preview

Page 1: tecnicas_digitais_mt

3

Curso: Mecatrônica Módulo: Carga Horária: Docente: Turno: Turma:

Material Instrucional especialmente elaborado pelo Prof. Edson Grisi para uso exclusivo do CETEB-CA.

Page 2: tecnicas_digitais_mt

4

SUMÁRIO 1. Introdução 4 2. Sistema de numeração 6 3. Conversão de base numérica 8 4. Operações aritméticas com números binários 12 5. Operações lógicas e portas lógicas 14 6. Circuitos equivalentes utilizando portas NAND’s 19 7. Controle de relógio 20 8. Álgebra de Boole 21 9. Simplificação de operações algébricas 24 10. Mapa de Karnaugh 25 11. Circuitos combinacionais 29 12. Circuitos seqüenciais 47 13. Flip-flops 47 14. Registrador de deslocamento 58 15. Contadores 61 16. Conversor digi-ana 68 17. Conversor ana-digi 72 18. Memórias 75 Bibliografia 80

Page 3: tecnicas_digitais_mt

5

1. Introdução Os sistemas digitais vieram incrementar de forma consolidada e precisa a aplicação da Eletrônica não só no meio industrial como também no comércio, na agricultura, na engenharia, nas telecomunicações, em equipamentos hospitalares, na educação, no entretenimento, etc. Os equipamentos analógicos são caracterizados por possuírem grandezas de variações contínuas de níveis, como é o exemplo da tensão, da corrente e da potência elétrica. Já os equipamentos digitais, apesar de se utilizar de grandezas com variações contínuas, são definidos por fazer uso de níveis fixos e pré-definidos de uma determinada grandeza. O termo digital é proveniente de dígitos, pois através destes podemos estabelecer uma determinada linguagem numérica com características bem definidas. Quando a informação vem pelos dígitos, não se precisa de comparação, não se precisa de análise, ao bater o olho nós já entendemos de que informação se trata. Esta é a característica marcante que faz a diferença em equipamentos digitais. Caso 1: Se você chegar a seu interlocutor e perguntar: Quantos irmãos você tem? Este interlocutor ao responder, ao invés de utilizar a linguagem falada, põe dois dedos em histe. De imediato você entende que ele possui dois irmãos, este entendimento não precisou de comparação, não precisou de referência, não precisou de análise, foi claro e direto. Desta forma, a informação passada foi uma informação digital.

Caso 2: Em outro momento, você pergunta ao mesmo interlocutor: Qual a altura de seu irmão mais novo? Ainda sem falar, este seu interlocutor responde a esta pergunta estirando o braço e pondo a mão à altura de seu ombro. Com esta informação o entendimento não é imediato, você vai precisar de referência, de comparação, de análise, pois: A altura que ele se refere é aquela que vai da posição em que a mão foi colocada até o piso em que o interlocutor se encontra, não em relação ao piso inferior nem em relação ao piso superior. Desta forma a informação passada se trata de uma informação analógica, que só é adquirida porque existe uma referência para ela, sem referência não existe informação.

Page 4: tecnicas_digitais_mt

6

Para se estabelecer as diferenças entre circuitos analógicos e circuitos digitais, se costuma comparar relógios analógicos com os relógios digitais. Podemos também comparar uma rampa, para subida e decida de pessoas, com uma escadaria também para subida e decida de pessoas. A rampa é contínua e não tem posições fixas, porém a escadaria é composta de posições pré-definidas que são os degraus, o movimento é feito de degrau em degrau.

O relógio analógico possui ponteiros que estão constantemente em movimento, estes ponteiros nunca param, possuem uma velocidade constante. Porém existem relógios que possuem mostrador analógico e sua estrutura interna é toda digital, é o mais comum de se encontrar no mercado e é o mais barato dentre os demais. Estes relógios possuem posições definidas para os seus ponteiros que, por sua vez, promovem saltos em determinados intervalos de tempo, mudando-se de uma posição para outra. Apesar de possuir mostrador analógico, tais relógios se caracterizam por ser essencialmente digitais. O relógio digital fornece as informações de forma imediata, sem precisar de nenhuma analogia, sem precisar de nenhum referencial, podendo ser comparado com as informações que são dadas pelos dígitos. Este tipo de relógio passa de uma informação para a seguinte sem nenhuma informação intermediária. Visando um entendimento mais apurado, podemos fazer uma alusão à Matemática. Vamos imaginar uma banda, ou seja, uma seqüência de números que vai de 0 (zero) a 1 (um). Quantos números existem nesta banda? Entre zero e um, existem quantos números? Após discutirmos, podemos chegar à conclusão de que existem infinitos números entre zero e um. Mas será que isso é verdadeiro? Como podemos provar? Será que existe como demonstrar? Na tentativa de provar que existem infinitos números entre zero e um, podemos fazer outra análise: Se considerarmos uma outra banda de números, só que desta vez indo de 1 (um) até ∞ (infinito), como ficaria? Quantos números existem nesta nova banda? Entre um e infinito, existem quantos números?

0 1

Page 5: tecnicas_digitais_mt

7

É! Sem dúvida podemos responder que entre um e infinito temos infinitos números. Isto é elementar, não temos nenhuma dúvida, realmente são infinitos números. Agora vamos analisar: Se usarmos uma seqüência de números em forma de fração, de forma tal que o numerador de todos eles seja igual ao número um e variarmos o denominador destes números na seqüência de um até infinito, como ficaria? O estudo de técnica digital pode ser dividido basicamente em duas partes, sejam elas: Sistema Combinacional e Sistema Seqüencial. O Sistema Combinacional é aquele que a partir de uma determinada combinação injetada na entrada, gera ou fornece uma outra combinação na saída. Ou seja, dependendo de como se combine os dados de entrada, vamos obter determinados dados, já pré-definidos, na saída. Já o Sistema Seqüencial lida com seqüências lógicas, seguindo um determinado critério. Um bom exemplo deste sistema é o cronômetro, que tem por objetivo marca o tempo, tanto utilizando uma seqüência crescente, quanto utilizando uma seqüência decrescente.

2. Sistema de Numeração Número é uma coisa banal que usamos sempre em nosso cotidiano, mesmo sem se dar conta de que estamos fazendo uso deles. Porém se alguém perguntar: O que é número? Aí complica, pode ser respondido que número é um símbolo que representa um determinado conjunto ou coleção de objetos com o mesmo formato. Por exemplo: o objeto representado por #, que chamamos de sustenido é representado por 1#. Desta forma a coleção ## seria representada por 2#, a coleção #### por 4#, e assim por diante. Entender desta forma fica muito fácil, mas se não tivéssemos números para representar as quantidades ficaria muito complicado.

1 ∞

1 ∞

2 3 10 50 1.000 100.000

1 1

1

∞ 1 2

1 3

1 10

1 50

1 1.000

1 100.000

1 0,5 0,33 0,1 0,02 0,00001 0,0000001 0

Page 6: tecnicas_digitais_mt

8

Imagine uma coleção com uma quantidade muito grande de elementos. Como representa-los? Seria praticamente impossível. # = 1# ## = 2# ### = 3# #### = 4# ##### = 5# ###### = 6# ####### = 7# ########- = 8# ######### = 9# ########## = 10# . . .......................... = 100.000# Na tabela que vemos a seguir temos a primeira coluna com as quantidades, a segunda coluna com os números decimais (0 a 9), a terceira coluna com os números binários (0 e 1), a quarta com os números octais (0 a 7) e a quinta com os números hexadecimais (0 a 9, A, B, C, D, E e F). Um sistema numérico é usado para representar quantidades através de símbolos, porém quando acabam estes símbolos passa-se a usar dois símbolos, três símbolos, etc. para representar maiores quantidades, como é o caso de 11, 12, etc. Tal método é necessário para evitar que haja a necessidade de infinitos símbolos diferentes. Cada símbolo individual de um sistema de numeração é conhecido como dígito ou algarismo e a quantidade de símbolos utilizados em um determinado sistema de numeração é que determina a “base numérica” deste sistema. Todos os sistemas numéricos permitem representar qualquer quantidade, com um determinado número de símbolos.

OBJETOS DECIMAL BINÁRIO OCTAL HEXADECIMAL 0 0 0 0 ^ 1 1 1 1 ^^ 2 10 2 2 ^^^ 3 11 3 3 ^^^^ 4 100 4 4 ^^^^^ 5 101 5 5 ^^^^^^ 6 110 6 6 ^^^^^^^ 7 111 7 7 ^^^^^^^^ 8 1000 10 8 ^^^^^^^^^ 9 1001 11 9 ^^^^^^^^^^ 10 1010 12 A ^^^^^^^^^^^ 11 1011 13 B ^^^^^^^^^^^^ 12 1100 14 C ^^^^^^^^^^^^ 13 1101 15 D ^^^^^^^^^^^^^^ 14 1110 16 E ^^^^^^^^^^^^^^^ 15 1111 17 F ^^^^^^^^^^^^^^^^ 16 10000 20 10 ^^^^^^^^^^^^^^^^^ 17 10001 21 11 ^^^^^^^^^^^^^^^^^^ 18 10010 22 12 ^^^^^^^^^^^^^^^^^^^ 19 10011 23 13 ^^^^^^^^^^^^^^^^^^^^ 20 10100 24 14

Page 7: tecnicas_digitais_mt

9

3. CONVERSÃO DE BASE NUMÉRICA 3.1 BINÁRIO � DECIMAL O processo de conversão para a base 10 é extremamente simples, basta somarmos os produtos das séries de potências para obtermos o resultado. Este processo é conhecido por multiplicação sucessiva. Por exemplos: a) 1110(2) = 1 x 23 + 1 x 22 + 1 x 21 + 0 x 20 = 8 + 4 + 2 + 0 = 14(10)

b) 10111(2) = 1 x 24 + 0 x 23 + 1 x 22 + 1 x 21 + 1 x 20 = 16 + 0 + 4 + 2 + 1 = 23(10)

c) 110100(2) = 1 x 25 + 1 x 24 + 0 x 23 + 1 x 22 + 0 x 21 + 0 x 20 = 32 + 16 + 0 + 4 + 0 + 0 = 52(10) 3.2 DECIMAL � BINÁRIO Para convertemos um número decimal em binário, basta dividirmos sucessivamente o número decimal por 2 (base dois) até onde for possível. Após concluirmos, pegamos o último quociente e todos os restos e colocamos na ordem inversa, obtendo-se assim o correspondente binário. a)

1

223

1122

12

5 10

12

24

12

2

0

23(10) = 10111(2) b)

1

230

1530

02

7 14

12

36

12

2

1

30(10) = 11110(2) c)

45(10) = 101101(2)

2

2 45

2244

1 2

11 22

0 2

510

12

4

12

2

1 0

Page 8: tecnicas_digitais_mt

10

3.3 OCTAL � DECIMAL a) 73(8) = 7 x 81 + 3 x 80 = 7 x 8 + 3 x 1 = 56 + 3 = 59(10)

b) 456(8) = 4 x 82 + 5 x 81 + 6 x 80 = 4 x 64 + 5 x 8 + 6 x 1 = 256 + 40 + 6 = 302(10)

c) 1352(8) = 1 x 83 + 3 x 82 + 5 x 81 + 2 x 80 = 1 x 512 + 3 x 64 + 5 x 8 + 2 x 1 = 512 + 192 + 40 + 2 = 746(10) 3.4 DECIMAL � OCTAL a)

362(10) = 552(8) b)

445(10) = 675(8) c)

1307(10) = 2433(8) 3.5 HEXADECIMAL � DECIMAL a) 5A(16) = 5 x 161 + 10 x 160 = 5 x 16 + 10 x 1 = 80 + 10 = 90(10) b) 31C(16) = 3 x 162 + 1 x 161 + 12 x 160 = 3 x 256 + 1 x 16 + 12 x 1 = 768 + 16 + 12 = 796(10)

c) 4E2(16) = 4 x 162 + 14 x 161 + 2 x 160 = 4 x 256 + 14 x 16 + 2 x 1 = 1024 + 224 + 2 = 1250(10)

8 1307

1633

8

20 3

8

2 4

8 445

55 58

6 7

8 362

45 2

8

5 5

Page 9: tecnicas_digitais_mt

11

3.6 DECIMAL � HEXADECIMAL a)

342(10) = 156(16) b) 13 = D

519(10) = 2D7(16) 15 = F c) 12 = C

764(10) = 2FC(16) 3.7 OCTAL � BINÁRIO � OCTAL Cada algarismo do sistema numérico octal corresponde a três algarismos do sistema numérico binário e cada três algarismos do sistema binário, correspondem a um algarismo do sistema octal, como seguem os exemplos: a) 175(8) = 001 111 101 = 1111101(2) 1 7 5 001 111 101 b) 613(8) = 110 001 011 = 110001011(2) 6 1 3 110 001 011 c) 101011010(2) = 452 101 011 010 5 3 2 d) 11110111100(2) = 3674 011 110 111 100 3 6 7 4

16 764

4712

16

2 15

16 519

45 7

16

2 13

16 342

32 6

16

1 5

Page 10: tecnicas_digitais_mt

12

3.8 HEXADECIMAL � BINÁRIO � HEXADECIMAL Cada algarismo do sistema numérico hexadecimal corresponde a quatro algarismos do sistema numérico binário e cada quatro algarismos do sistema binário, corresponde a um algarismo do sistema hexadecimal, como seguem os exemplos: a) 4BE(16) = 0100 1011 1110 = 10010111110(2) 4 B E 0100 1011 1110 b) 9F85(16) = 1001 1111 1000 0101 = 1001111110000101(2) 9 F 8 5 1001 1111 1000 0101 c) 11101011111(2) = 75F 0111 0101 1111 1111 = 15 = F 7 5 F d) 11001111011110011(2) = 19EF3 0001 1001 1110 1111 0011 1110 = 14 = E 1 9 E F 3 1111 = 15 = F Exercícios: 1. Converta os números representados na base BINÁRIA para a base DECIMAL: a) 110010 b) 1011001 c) 1000010 d) 1101100 e) 1011111 2. Converta os números representados na base DECIMAL para a base BINÁRIA: a) 26 b) 34 c) 92 d) 47 e) 185 3. Converta os números representados na base OCTAL para a base DECIMAL: a) 15 b) 41 c) 62 d) 374 e) 536

Page 11: tecnicas_digitais_mt

13

4. Converta os números representados na base DECIMAL para a base OCTAL: a) 125 b) 449 c) 681 d) 537 e) 982 5. Converta os números representados na base HEXADECIMAL para a base DECIMAL: a) 294 b) 3A2 c) 18C d) BAC e) 5FF 6. Converta os números representados na base DECIMAL para a base HEXADECIMAL: a) 72 b) 154 c) 682 d) 541 e) 968 7. Converta os números representados na base BINARIA para a base OCTAL: a) 100100 b) 1110010011 c) 111000111101 d) 1000111001 e) 10101011111 8. Converta os números representados na base HEXADECIMAL para a base BINÁRIA: a) 3D2 b) F15 c) 6FF d) E5A e) 7BC

4. OPERAÇÕES ARITMÉTICAS COM NÚMEROS BINÁRIOS 4.1 ADIÇÃO A adição de números binários funciona do mesmo jeito que a adição de números decimais. Desta forma, segue o critério abaixo que utiliza a regra do "vai um".

0 + 0 = 0 1 + 0 = 1 0 + 1 = 1

1 + 1 = 0 e "vai um"

Page 12: tecnicas_digitais_mt

14

Suponhamos dois números binários: 110011 e 100110. A adição fica da seguinte forma: 110011 100110 + 1011001 O processo da adição foi feito por partes, da direita para a esquerda: 1 + 0 = 1 1 + 1 = 0 e "vai um" 0 + 1 = 1. Pega-se o "vai um" do outro dígito e fica 0 e "vai um" novamente 0 + 0 = 0. Pega-se o "vai um" do outro digito e fica 1 1 + 0 = 1 1 + 1 = 0 e "vai um" Sobrou o "vai um" da última casa que se operou a adição. Como nos decimais, adiciona-se uma casa à esquerda da resposta, esta casa é o “vai um”. Então, a última casa é 1. 4.2 SUBTRAÇÃO A subtração de números binários funciona do mesmo jeito que a subtração de números decimais. Desta forma, segue o critério abaixo que utiliza a regra do "vai um". 0 - 0 = 0 1 - 0 = 1 0 - 1 = 1 e "vai um" 1 - 1 = 0 Suponhamos dois números binários: 1100101 e 101011. A subtração fica da seguinte forma: 1100101 101011 - 0111010 O processo da subtração foi feito por partes, da direita para a esquerda: 1 - 1 = 0 0 - 1 = 1 e "vai um" 1 - 0 = 1. Subtrai o "vai um" do outro dígito e fica 0 0 - 1 = 1 e "vai um". 0 - 0 = 0. Subtrai o "vai um" do outro digito e fica 1 e "vai um" novamente 1 - 1 = 0. Subtrai o "vai um" do outro digito e fica 1 e "vai um" novamente 1. Subtrai o "vai um" do outro digito e fica 0 Sobrou o "vai um" da penúltima casa que se operou a subtração. Como nos decimais, subtrai-se este “vai um” da última casa do minuendo, a casa do minuendo que está à esquerda. Então, a última casa da diferença é 0.

Page 13: tecnicas_digitais_mt

15

Exercícios: 1. Arme e efetue na base binária: a) 111001 + 100111 = b) 10111001 + 10011 = c) 1101101 + 1101 = d) 1111111 – 101001 = e) 11000111 - 1101 =

5. OPERAÇÕES LÓGICAS E PORTAS LÓGICAS As principais operações lógicas são: AND, OR, XOR e NOT. É imprescindível dominá-las perfeitamente se queremos entender as técnicas digitais e desenvolvermos atividades ligadas à Eletrônica Digital. Todo circuito lógico, seja ele combinacional ou seqüencial, é formado pela associação de portas lógicas que possibilitam a utilização da lógica binária. Através da aplicação conveniente destas portas, podemos chegar a todas as expressões contidas na álgebra de Boole, que constituem a base para o desenvolvimento de sistemas digitais. 5.1 AND A tradução de “AND” é “E”. Temos a seguinte situação: "se eu estiver cansado E tiver um lugar para deitar, então eu durmo". Somente se as duas condições forem verdadeiras, o resultado é verdadeiro. Se eu estiver cansado, a primeira condição é verdadeira, mas não tiver uma rede ou uma cama para deitar, a segunda condição é falsa, então não vou conseguir dormir, e aí o resultado é falso. Se eu não estiver cansado, primeira condição falsa, mas tenho uma rede para deitar, segunda condição verdadeira, eu não vou dormir, ficando o resultado falso. A operação lógica AND é uma operação que pode ter duas ou mais entradas, porém sempre terá apenas uma saída. A operação AND pode ser resumida na seguinte tabela, onde temos duas entradas (letra A e letra B) e a saída S. O valor 0 representa falso e o valor 1 representa verdadeiro: Tabela Verdade:

A B S 0 0 0 0 1 0 1 0 0 1 1 1

Símbolo da porta AND: Expressão lógica:

Page 14: tecnicas_digitais_mt

16

Circuito Integrado com quatro portas AND’s com duas entradas:

CI 7408 Outra maneira de entender a operação lógica AND é compará-la com a multiplicação. Multiplicando as entradas, o resultado de saída é o mesmo da tabela dada. Em outras palavras: Na operação lógica AND, somente se as entradas forem 1, todas elas, o resultado é 1. Caso apenas uma entrada for 0, a saída certamente é 0. Agora, se apenas soubermos de que uma das entradas é 1, a saída não está determinada, pois vai depender das demais entradas. 5.2 NAND A operação lógica NAND é exatamente o inverso da AND, é como se tivéssemos uma porta NOT na saída da porta AND. Esta operação é resumida com a seguinte tabela:

Tabela Verdade A B S 0 0 1 0 1 1 1 0 1 1 1 0

Símbolo da porta NAND: Expressão lógica:

Circuito Integrado com quatro portas NAND’s com duas entradas:

CI 7400

Page 15: tecnicas_digitais_mt

17

Circuito Integrado com três portas AND’s com três entradas:

CI 7410 5.3 OR A operação lógica “OR”, cuja tradução é “OU”, também é uma operação que pode ter duas ou mais entradas, porém uma única saída. Temos a seguinte situação: Se alguém me xingar OU se fizer uma cara feia, então fico bravo. Desta forma podemos montar a tabela da lógica OR:

Circuito lógico A B S 0 0 0 0 1 1 1 0 1 1 1 1

Símbolo da porta OR: Expressão lógica:

Circuito Integrado com quatro portas OR’s com duas entradas:

CI 7432

Podemos dizer que: Se uma das entradas for verdadeira, a saída certamente é verdadeira, caso isso não ocorra e todas as entradas sejam falsas, a saída é falsa. Desta forma, se uma das entradas for 1, o resultado certamente será 1, não importando o valor das demais entradas, e por outro lado, se soubermos apenas de que uma das entradas é 0, a saída não estará definida, dependerá das demais entradas.

Page 16: tecnicas_digitais_mt

18

5.4 NOR A operação lógica NOR é exatamente o inverso da OR, é como se tivéssemos uma porta NOT na saída da porta OR. Esta operação é resumida com a seguinte tabela:

Tabela verdade A B S 0 0 1 0 1 0 1 0 0 1 1 0

Símbolo da porta NOR: Expressão lógica:

5.5 XOR A tradução de XOR (exclusive OR) é OU exclusivo (ou então OU excludente). Esta operação lógica, como as outras já vistas, também pode ter várias entradas, porém deverá ser analisada duas a duas. Podemos entendê-la da seguinte forma: Ir à escola XOR ir ao jogo. Como não posso estar nos dois lugares ao mesmo tempo (um exclui o outro), então teremos a seguinte tabela para a lógica XOR:

Tabela verdade A B S 0 0 0 0 1 1 1 0 1 1 1 0

Símbolo da porta XOR: Expressão lógica:

Se não for à escola (0) e não for ao jogo (0), então não decidi o que fazer (0). Se for à escola (1) e não for ao jogo (0), então me decidi (1). Se não for à escola (0) e for ao jogo (1), então também me decidi (1). Se for à escola (1) e for ao jogo (1), não decidi nada (0) porque não posso ir aos dois lugares ao mesmo tempo. Em outras palavras: Se uma das entradas for 1 e a outra 0, então a saída é 1; caso contrário, a saída é 0". Se as entradas forem iguais, a saída é 0. Se as entradas forem diferentes, a saída é 1. Esta característica permite fazer comparações de níveis ou dados numa seqüência de bits e possui aplicações das mais variadas.

Page 17: tecnicas_digitais_mt

19

5.6 NXOR ou COINCIDÊNCIA A operação lógica NXOR é exatamente o inverso da XOR, é como se tivéssemos uma porta NOT na saída da porta XOR. Esta operação é resumida com a seguinte tabela:

Tabela verdade A B S 0 0 0 0 1 1 1 0 1 1 1 0

Se as entradas forem iguais, a saída é 1. Se as entradas forem diferentes, a saída é 0. É possível se fazer comparações de níveis, pois quando estes coincidem a saída é 1. Símbolo da porta XOR: Expressão lógica:

5.7 NOT Esta é a operação lógica mais fácil de ser analisada, é a operação da negação. NOT significa NÃO e, ao contrário das outras operações, aceita apenas uma entrada e proporciona apenas uma saída. Podemos montar a tabela como segue:

Tabela verdade A S 0 1 1 0

Símbolo da porta XOR: Expressão lógica:

Circuito Integrado com seis portas NOT’s:

CI 7404

Page 18: tecnicas_digitais_mt

20

Resumo das Portas Lógicas:

6. CIRCUITOS EQUIVALENTES UTILIZANDO PORTAS NAND’s Podemos utilizar portas NAND’s para montarmos a lógica das demais portas, temos alguns exemplos abaixo. Podemos verificar que em alguns casos a porta NAND tem suas entradas curtocircuitadas para formar a porta NOT.

Y = Ā

Y = A ⊕ B

______ Y = A ⊕ B

Page 19: tecnicas_digitais_mt

21

7. CONTROLE DE RELÓGIO Uma das aplicações da lógica digital é bloquear ou permitir que um sinal seja transmitido. Na figura abaixo, o relógio (clook) é o sinal e a porta AND como também a OR atuam para fazer o controle desta transmissão. Podemos observar as diferentes formas de saída quando o sinal de relógio é habilitado e quando é desabilitado.

Page 20: tecnicas_digitais_mt

22

8. ÁLGEBRA DE BOOLE A álgebra booleana foi desenvolvida pelo matemático Inglês George Boole (1815 – 1864) e é também conhecida por álgebra da lógica e constitui uma importante ferramenta matemática para projetos de circuitos lógicos, permitindo a simplificação destes circuitos. Esta álgebra trata de variáveis que assumem somente dois valores possíveis, ou seja, uma determinada variável, representando uma proposição lógica, pode ser falsa ou, por outro lado, verdadeira. Associa-se sempre o dígito “0” ao falso e o dígito “1” ao verdadeiro. Embora estes dígitos 0 e 1 não necessariamente venham representar qualquer significado numérico. A composição da álgebra de boole é feita por três postulados e oito teoremas que são de grande utilização na minimização e manipulação de expressões lógicas. 8.1 POSTULADOS 1. OPERAÇÃO OU (+) – Também chamada união, possui valores booleanos binários e é dada por: 0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 1

2. OPERAÇÃO E (.) – Também chamada intersecção, possui valores booleanos binários e é dada por: 0 . 0 = 0 0 . 1 = 0 1 . 0 = 0 1 . 1 = 1 3. OPERAÇÃO NÃO ( ) – Também representa o complemento de um número, é dada por: 0 = 1 (complemento de zero é igual a um) 1 = 0 (complemento de um é igual a zero) 8.2 TEOREMAS Os teoremas de variáveis booleanas podem ser demonstrados com o auxilio das propriedades utilizadas em conjuntos e dos postulados vistos anteriormente. 1. a) A + A = A 1. b) A . A = A 2. a) A + 1 = 1 2. b) A . 1 = A 3. a) A + 0 = A 3. b) A . 0 = 0 4. a) A + A = 1 4. b) A . A = 0

Page 21: tecnicas_digitais_mt

23

5. A = A 6. a) A + A B = A Pois: A + A B = A (1 + B) Como: 1 + B = 1, logo: A + A B = A (1 + B) = A . 1 = A 6. b) A . (A + B) = A Pois: A . (A + B) = A Como: A (A + B) = A A + A B, e A A = A, logo: A . (A + B) = A A + A B = A + A B = A (1 + B) = A 7. a) A . (A + B) = A B Pois: A . (A + B) = A B Como: A (A + B) = A A + A B, e A A = 0, logo: A . (A + B) = A A + A B = 0 + A B = A B 7. b) A + A B = A + B Pois: A + A B = A + B Como: A + A B = (A + A) . (A + B)

A + A = 1, logo: A + A B = 1 . (A + B) = A + B _____ _ _ 8. a) (A + B) = A . B De Morgan ____ _ _ 8. b) A . B = A + B De Morgan Os teoremas de 1 a 5 advêm diretamente dos postulados e são considerados básicos para demonstração dos demais teoremas. O teorema 8 é conhecido como Teorema de “De Morgan” e é aplicado quando se deseja obter o complemento de um conjunto de variáveis ou de uma determinada função booleana. Correlação do teorema de De Morgan com o circuito lógico:

Demonstração de correspondência:

Page 22: tecnicas_digitais_mt

24

De forma simplificada podemos demonstrar que:

O teorema de De Morgan é a mais importante das identidades e ao primeiro passo ele não é imediatamente entendido. Ele torna concreto o conceito de que existem várias maneiras de se atingir a mesma tabela verdade, de forma tal que toda lógica pode ser construída somente com portas NAND, apesar de que não é uma maneira simplificada de se obter um circuito lógico. Outra maneira de ver o teorema de De Morgan é levar um circuito com porta AND para outro com porta OR com mesma lógica, já que toda lógica pode ser montada tanto com NAND’s como com NOR’s.

Esta lógica pode ser generalizada para qualquer quantidade de entradas e se mantém com a mesma estrutura do teorema de De Morgan.

Podemos concluir estabelecendo algumas correspondências:

Page 23: tecnicas_digitais_mt

25

Tabela de Identidades lógicas:

9. SIMPLIFICAÇÃO DE OPERAÇÃES ALGÉBRICAS Os teoremas e postulados da Álgebra de Boole são de grande valia na simplificação de expressões algébricas. Em algumas situações quando trabalhamos com expressões lógicas, nos deparamos com algumas que são de difícil manipulação, sendo necessário então serem simplificadas através dos teoremas citados anteriormente. Exemplo 1: (A + B) . (A + C) = A A + A C + A B + B C = A + A C + A B + B C = A (1 + C) + A B + B C = A (1) + A B + B C = A + A B + B C = A (1 + B) + B C = A (1) + B C = A + B C Exemplo 2: A B + A B C + A B D = A B (1 + C + D) = A B (1) = A B _ _ _ _ _ _ _ _ Exemplo 3: A B C D + A B C D + A B C D + A B C D = _ _ _ _ _ A B D (C + C) + A B D (C + C) = _ _ _ A B D + A B D = _ _ A D (B +B) = _

A D Podemos construir circuitos digitais a partir da lógica booleana. Abaixo temos dois circuitos que apresentam a mesma lógica, sendo um escrito como um produto de somas (PDS) e o outro escrito como uma soma de produtos (SDP).

Page 24: tecnicas_digitais_mt

26

Exercícios: 1. Represente através de circuitos lógicos, as funções abaixo: 2. Represente algebricamente os circuitos lógicos abaixo:

10. MAPA DE KARNAUGH Os mapas ou diagramas são uma maneira de representar a tabela verdade de forma a tornar visíveis as possibilidades de otimização do circuito e também objetivam a simplificação de expressões de maneira muito mais rápida. Este método foi desenvolvido por Veitch – Karnaugh e consiste em fazer o mapeamento de uma função booleana numa matriz de R células ou quadrados adjacentes, onde “R” é proporcional ao número de variáveis “n”, e podemos ter estes mapas para 2, 3, 4 e até mais variáveis:

R = 2 n

a) S = A + B . C + B

c) S = AB + AC + A + D

b) S = A + B . C + D

d) S = A + B + C + AB + AC

a)

A

B

C

D

S

b) A

B

C

D

S

c) A

B

C

D

S

d) A

B

C

D

S

Page 25: tecnicas_digitais_mt

27

10.1 MAPA PARA DUAS VARIÁVEIS E TABELA VERDADE

10.2 MAPA PARA TRÊS VARIÁVEIS E TABELA VERDADE

10.3 MAPA PARA QUATRO VARIÁVEIS E TABELA VERDADE

No mapa a seguir podemos ver de que forma devemos transportar os dados da tabela verdade para o diagrama de Karnaugh e a partir daí simplificar uma expressão ou mesmo um circuito lógico.

Page 26: tecnicas_digitais_mt

28

Como podemos ver em nosso mapa, as áreas de intersecção do diagrama representam cada um dos estados (ou casos) da tabela verdade, nestas intersecções devemos inserir os valores de saída “S” de cada estado que encontramos na tabela verdade. Para que possamos obter a expressão simplificada e consequentemente simplificarmos o circuito lógico, utilizamos o seguinte método: Primeiramente colocamos todos os dados da saída S, obtidos das combinações das entradas, em suas correspondentes regiões no mapa e depois tentamos agrupar as regiões onde a saída S é igual a 1, na menor quantidade possível de agrupamentos, visando uma melhor simplificação. As regiões onde S é 1, que não puder ser agrupadas, serão consideradas isoladamente. Poderemos ter os seguintes tipos de agrupamentos: a) Octeto: Conjunto de oito regiões, onde a saída S é igual a 1. No diagrama de 3 variáveis, é o agrupamento máximo, proveniente de uma tabela onde todos os casos valem 1. b) Quarteto (ou quadra): Conjunto de quatro regiões, onde S é igual a 1. No diagrama de 2 variáveis, é o agrupamento máximo, proveniente de uma tabela onde todos os casos valem 1. c) Dueto (ou par): Conjunto de duas regiões onde S = 1, que tem um lado em comum, ou seja, são vizinhos. d) Termos isolados: São as regiões onde S é 1 e que não possui vizinhança adjacente para agrupamentos. São os próprios casos de entrada. Não admitem nenhuma simplificação. Exemplo: Inicialmente montaremos a Tabela Verdade:

00110101

Page 27: tecnicas_digitais_mt

29

Utilizando, desta vez, apóstrofo para identificar as variáveis negadas, temos a expressão lógica da saída S, em função das entradas A, B e C: S = A'BC' + A'BC + AB'C + ABC Agora, vamos preencher o mapa de Karnaugh para simplificar a expressão lógica retirada da tabela verdade:

Podemos formar 3 duetos (grupos de duas células), a saber: A'BC + A'BC' = A'B AB'C + ABC = AC A'BC + ABC = BC � Desnecessário à simplificação O terceiro termo, BC, foi obtido da simplificação dos mini-termos AB'C e ABC, os quais já estão presentes nos outros dois termos, A'B e AC, portanto BC é um termo desnecessário, podendo a expressão final da saída ser escrita como:

S = A'B + AC O circuito digital simplificado do identificador de números primos é o da figura abaixo. Faça a tabela verdade deste circuito e verifique que coincide com a primeira tabela verdade que foi feita neste exemplo.

Exercício: 1. A partir da tabela verdade, faça a simplificação e desenhe o circuito lógico: a)

A B S 0 0 0 0 1 1 1 1 0 1 0 1

0 0

0 0

1 1

1 1

Page 28: tecnicas_digitais_mt

30

b) A B C S 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 1

c)

A B C D S 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 1 0 1 1 0 1 1 0 0 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1

2. A partir da expressão, faça a simplificação e desenhe o circuito lógico: a) S = A'BC + A'BC' + A'B'C' + A'B'C' b) S = AB'C + A'B'C + AB'C'+ ABC' c) S = A'BCD' + AB'C'D + AB'C'D'+ ABC'D' d) S = AB'CD + AB'CD' + A'B'CD' + ABCD + A'B'C'D'

11. CIRCUITOS COMBINACIONAIS O circuito combinacional é aquele em que a saída depende única e exclusivamente das combinações possíveis das variáveis de entrada naquele exato momento, não dependendo das combinações ocorridas no tempo anterior, são muito usados na construção de computadores e diversos outros equipamentos digitais.

Page 29: tecnicas_digitais_mt

31

Este circuito lógico pode possuir diversas variáveis de entrada e uma ou mais variável de saída conforme a necessidade do projeto.

Exercícios:

Page 30: tecnicas_digitais_mt

32

2 ) Desenhe os circuitos que geram as seguintes expressões:

Respostas

3) Levante a tabela verdade dos circuitos combinacionais das questões 1 e 2.

Page 31: tecnicas_digitais_mt

33

Respostas: a)

A B C D S 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1

b)

A B C D E S 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 1 1 1 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0

Page 32: tecnicas_digitais_mt

34

1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0

c)

A B C D S 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 0 1 1 0 0 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1

d)

A B C S 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 0 1 1 0 1 1 1 1 1

Page 33: tecnicas_digitais_mt

35

4) Construa o circuito da expressão utilizando somente portas NAND’s.

Resposta:

5) Construa o circuito da expressão utilizando somente portas NOR’s.

Resposta:

6) Determine as expressões booleanas das funções f1, f2, f3, a partir das tabelas verdade abaixo, simplificando-as tanto quanto possível pela aplicação de postulados e teoremas:

Page 34: tecnicas_digitais_mt

36

Respostas:

7) Simplificar algebricamente as seguintes expressões:

Page 35: tecnicas_digitais_mt

37

8) A figura a seguir mostra de forma esquemática a conexão de 4 computadores de uma determinada empresa a uma única impressora. Essa conexão é feita através de um circuito de controle. Projete esse circuito de controle de modo a garantir o funcionamento da conexão segundo as seguintes propriedades: • Computador do setor administrativo (ADM) � 1ª prioridade; • Computador do setor pessoal (PES) � 2ª prioridade; • Computador do setor engenharia (ENG) � 3ª prioridade; • Computador do setor de vendas (VEN) � 4ª prioridade.

Page 36: tecnicas_digitais_mt

38

Variáveis de Entrada: A � Computador da Adminisrtração P � Computador do Departamento Pessoal E � Computador do Departamento de Engenharia V � Computador do Departamento de Vendas Onde: 1 = requisição para imprimir; e 0 = sem requisição. Variáveis de Saída: Chave A; Chave P; Chave E; e Chave V. Onde: 1 = Chave fechada; 0 = Chave aberta.

A P E V Chave A Chave P Chave E Chave V 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 0 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 0 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 0 0 0 1 1 0 0 1 0 0 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 0 1 1 1 1 1 0 0 0

9) A figura abaixo mostra quatro chaves que são parte de um circuito de controle de uma máquina copiadora. As chaves estão localizadas ao longo do caminho que o papel passa pela máquina. Cada uma das chaves está normalmente aberta, e quando o papel passa pela chave ela fecha. É impossível que as chaves SW1 e SW4 estejam fechadas ao mesmo tempo. Projete um circuito que produza uma saída em “NÍVEL ALTO” quando duas ou mais chaves estiverem fechadas ao mesmo tempo.

Page 37: tecnicas_digitais_mt

39

Variáveis de Entrada: SW1; SW2; SW3 e SW4 Onde: 1 = chave fechada; e 0 = chave aberta

SW1 SW2 SW3 SW4 F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 0 1 0 0 1 X 1 0 1 0 1 1 0 1 1 X 1 1 0 0 1 1 1 0 1 X 1 1 1 0 1 1 1 1 1 X

F = SW1.SW2 + SW1.SW3 + SW2.SW3 + SW2.SW4 + SW3.SW4

Page 38: tecnicas_digitais_mt

40

10) Uma estufa deve manter a temperatura interna sempre na faixa entre 15°C e 20°C controlada automaticamente por um sistema de controle digital. Para isso, foram instalados internamente dois sensores de temperatura que fornecem níveis 0 e 1 nas seguintes condições: • T1 = 1 para temperatura ≥ 15°C • T2 = 1 para temperatura ≥ 20°C Projetar um circuito combinacional para fazer o controle de temperatura desta estufa através do acionamento de um aquecedor “A” ou de um resfriador “R”, sempre que a temperatura interna cair de 15°C ou subir acima de 20°C, conforme mostra o diagrama de blocos a baixo:

Caso a temperatura interna da estufa esteja dentro da faixa desejada, os sistemas de aquecimento e resfriamento devem estar desligados, ou seja, A =R = 0. Variáveis de Entrada: T1 e T2 Variáveis de Saída: A e R

T1 T2 A R 0 0 1 0 0 1 X X 1 0 0 0 1 1 0 1

Circuito Lógico

Page 39: tecnicas_digitais_mt

41

11) Uma escola tem sua diretoria constituída pelos seguintes elementos: Diretor, Vice-diretor, Secretário e Tesoureiro. Uma vez por mês esta diretoria se reúne para decidir sobre diversos assuntos, sendo que as propostas são aceitas ou não através de votação. Devido ao número de elementos da diretoria ser par, o sistema adotado é o seguinte: • Maioria absoluta – a proposta é aceita ou não se no mínimo três elementos são, respectivamente, a favor ou contra; • Empate – vence o voto dado pelo diretor. Projetar um circuito que acenda uma lâmpada caso a proposta seja aprovada pela diretoria. A figura abaixo mostra o diagrama de blocos deste sistema de votação.

Variáveis de Entrada: D � Voto do Diretor V � Voto do Vice-diretor S � Voto do Secretário T � Voto do Tesoureiro Onde: 1 = voto a favor; 0 = voto contra.

Page 40: tecnicas_digitais_mt

42

Variáveis de Saída: P = Proposta Onde: 1 = aprovada; e 0 = rejeitada.

D V S T P 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1

Page 41: tecnicas_digitais_mt

43

Entre os circuitos combinacionais, temos: somadores, subtratores, codificadores, decodificadores, multiplex e muitos outros circuitos que executam determinadas prioridades. 11.1 SOMADORES

Os circuitos somadores e subtratores são aplicados em determinados sistemas digitais, como na construção da ULA (Unidade Lógica Aritmética) que compõe os microprocessadores. Meio Somador É um circuito lógico capaz de calcular a soma de apenas dois bits. Para melhor entender, vamos analisar os casos possíveis de se somar dois bits:

0

0+

0

1

1+

01

0

1+

1

0

1+

1 ⇔ ( 2 )10

Considerando o primeiro algarismo “A”, o segundo “B”, o resultado “S” e o "vai um" de C (Carry Bit) ou bit de transporte, chegamos à função lógica através da utilização de mapa de Karnaugh.

A B S

0 0

0

0

1

1

1 1

C

0 0

0

0

1

1

0 1

AB 0 1

0

1 1 0

0 1

S = AB + AB = A B

_ _

AB 0 1

0

1 1

0 0

0

S = AB

A

BS

C

Como na adição de números binário podemos ter números com mais de um algarismo, precisamos fazer uso do somador completo. Somador Completo Vamos somar dois números com onze algarismos cada:

10010111010+11001011100

101100010110

111111

Circuito Lógico

Page 42: tecnicas_digitais_mt

44

Neste exemplo dado, precisaremos de onze circuitos somadores completo para poder efetuar a soma, sendo um circuito para cada parcela da soma. Podemos considerar os algarismos da soma como sendo: A = algarismo do primeiro número B = algarismo do segundo número Cn = Ci = Carry de chegada Cn+1 = Co = Carry de saída

BA S

0 0

0

0

1

1

1 1

0 0

0

0

1

1

1 1

1

1

1

1

0

0

0

0

Cn Cn+1

0

1

1

1 1

1

1

1

1

0

0

0

0

0

0 0

A B

00

01

11

10

0 1Cn

00

01

11

10

0 1

0

0

0

0

1

1 1

1

A B

Cn

0 1

0

0

0

1

1

1

S = C AB + C AB + C AB + C ABn n n n

_ _ _ _ _ _C = C B + AB + C An nn+1

A

B

Cn

S Cn+1

Simplificando, podemos ter o seguinte circuito lógico:

Page 43: tecnicas_digitais_mt

45

Como já foi citado, o número de circuitos lógicos necessários para a construção de um Somador depende da quantidade de algarismos do número que deverá ser somado. Para que seja possível somar dois números de 8 bits cada, por exemplo, precisaremos de 8 circuitos somadores completo. Desta forma, simplificamos o circuito para um bloco somador completo “SC”.

SC

A B C

C S

7 7 7

78

SC

A B C

C S

6 6 6

67

SC

A B C

C S

5 5 5

56

SC

A B C

C S

4 4 4

34

SC

A B C

C S

3 3 3

24

SC

A B C

C S

2 2 2

23

SC

A B C

C S

1 1 1

11

SC

A B C

C S

0 0 0

01

O primeiro bloco da direita tem o seu Carry de entrada Co aterrada, pois não haverá entrada de transporte para o primeiro bloco, sendo possível substituir este bloco por um bloco do Meio Somador, como mostra a figura abaixo:

SC

A B C

C S

7 7 7

78

SC

A B C

C S

6 6 6

67

SC

A B C

C S

5 5 5

56

SC

A B C

C S

4 4 4

34

SC

A B C

C S

3 3 3

24

SC

A B C

C S

2 2 2

23

SC

A B C

C S

1 1 1

11

MS

A B

C S

0 0

01

11.2 DECODIFICADOR PARA DISPLAY DE 7 SEGUIMENTOS Como um exemplo prático, considere o que ocorre com a maioria das calculadoras eletrônicas e relógios digitais que fazem uso ostensivo de um componente eletrônico conhecido por display de sete segmentos (seven-segment display). Cada algarismo (ou dígito) é composto de sete barras de material semicondutor emissor de luz (ou de cristal líquido de absorção de luz), como pode ser visto na figura abaixo,

Uma amostra de como esses segmentos fornecem os dez dígitos é mostrada abaixo. Note que apenas os segmentos de interesse são energizados.

Essas barras são energizadas de forma seletiva no sentido de fornecer visualmente o dígito desejado. Para mostrar o número 2, por exemplo, os segmentos a, b, g, e e d são energizados. Como exercício, crie a tabela-verdade para a lógica que recebe um dígito BCD (dígitos BCD de entrada: w, x, y e z) como entrada e forneça as saídas de sete segmentos (cada dígito é formado pelas saidas 1 ou 0 de cada segmento: a, b, c, d, e, f e g) correspondentes aos dígitos do display, obedecendo a caixa preta abaixo,

Page 44: tecnicas_digitais_mt

46

A tabela-verdade requerida tem a seguinte forma,

Equivalente

decimal BCD-8421 A B C D a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 1 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 1 0 1 1

Uma vez completada a tabela-verdade acima, projete um circuito lógico combinacional, fazendo uso do Mapa de Karnaugh para minimizar as funções lógicas encontradas e desenhe o seu diagrama eletrônico com todas as portas necessárias à sua implementação no laboratório. Existem Circuitos Integrados que executam a função de decodificador de BCD para 7 seguimentos, como é o caso do CI-7447.

Page 45: tecnicas_digitais_mt

47

11.3 MULTIPLEX

Multiplexador

O multiplexador pode ser expandido para muito mais linhas. Observe que, nesse caso, cada porta AND foi expandida para três entradas, de forma que a codificação completa pode aparecer em cada um. Nós precisamos acrescentar mais uma entrada para cada porta AND para cada aumento à segunda potência no número de entradas. Como construir o mesmo circuito usando as AND’s apenas como habilitadores?

Page 46: tecnicas_digitais_mt

48

Demultiplexador

Evidentemente, a ação oposta também pode ser implementada. Um demultiplex envia o sinal para uma de várias linhas.

12. CIRCUITOS SEQUENCIAIS São circuitos que além dos níveis atuais de entrada, dependem dos níveis de situação anterior. A base destes circuitos são os FLIP-FLOPs que têm por característica armazenar os bits anteriores e efetuar mudanças a partir destes.

13. FLIP-FLOP’s Uma memória de um bit é o elemento fundamental para desenvolver qualquer outra memória. Estas memórias de um bit podem "guardar" estados "1" (Q=1) ou estados "0" (Q=0). Uma estrutura básica de uma memória, ainda não associada a qualquer pulso externo de clock, pode ser obtida a partir de dois NANDs associados, conforme visto a seguir.

Como pode ser observado no esquema ao lado, existem duas saídas Q e Q negado que realimentam a entrada. Esta realimentação associada aos NANDs faz com que, independente dos valores de entrada, as únicas saídas possíveis para esta montagem são opostas entre sí, ou seja, os valors de Q e Q negado serão iguais a 0 e 1 ou 1 e 0, respectivamente. Prove as afirmações acima. Obtenha a tabela verdade do circuito ao lado. Se buscamos uma memória, desejamos que seja possível escrever o valor na memória, não nos serve de nada uma memória na qual não possamos controlar seu conteúdo! Uma das formas de controlar o conteúdo de uma memória é associar às entradas uma lógica inversora, utilizando ANDs. A utilização dos ANDs permite que a memória fique estável em várias situações, i.e., mantendo seu valor, independente do que aconteça na entrada.

Page 47: tecnicas_digitais_mt

49

Somente quando o pulso de clock (Ck) for positivo, é que o sinal poderá ser modificado dentro do flip-flop. Este flip-flop é chamado de SR (set e reset), explique por que dos nomes, a partir da discussão sobre sua tabela verdade.

Você deve perceber, em sua análise, que o flip-flop SR ainda apresenta situações onde as entradas e os resultados na saída são indefinidos. Uma das formas de corrigir este problema é desenvolver outro tipo de flip-flop, chamado de JK, onde as saídas realimentam as entradas.

13.1 FLIP-FLOP RS SÍNCRONO

Page 48: tecnicas_digitais_mt

50

Variando a entrada set e reset:

Clock = 1

Page 49: tecnicas_digitais_mt

51

Com sinal de clock para uma onda quadrada:

Sensível a borda

de subida

Page 50: tecnicas_digitais_mt

52

Diagrama de estados ff RS síncrono:

13.2 FLIP-FLOP JK

Page 51: tecnicas_digitais_mt

53

A introdução das entradas CK, PR e CL ao flip-flop RS conforme tópico resulta em um circuito com um estado impossível, o que certamente prejudica a utilização na prática. Para contornar a situação, o tipo JK é o circuito anterior com portas E de 3 entradas conforme a figura, isso é, com retorno das saídas para a terceira entrada. Notar que, à direita da linha vertical tracejada, o arranjo é o mesmo do RS básico e, portanto, os valores informados na tabela de análise abaixo são os correspondentes à tabela de verdade do RS (considerando CK=1. Se nulo, não há qualquer mudança, similar ao tópico anterior mencionado).

J K Q 0 0 Qa 0 1 0 1 0 1 1 1 Qa

O resultado da tabela de verdade mostra que o flip-flop JK eliminou o problema do estado impossível da configuração simples RS. Entretanto, ainda resta um outro: na maioria dos circuitos práticos, a entrada de clock é uma sucessão de pulsos conforme exemplo da figura. Durante o intervalo T (pulso no nível 1) o flip-flop pode mudar de estado se as saídas mudarem. Isso pode representar um inconveniente e o ideal seria um controle mais preciso como, por exemplo, no ponto A, transição do nível 1 para 0. E a solução é dada pelo flip-flop mestre-escravo, objeto do próximo tópico.

Page 52: tecnicas_digitais_mt

54

Diagrama de estados ff JK:

CI 7473

J K Qd 0 0 Qa 0 1 0 1 0 1 1 1 Qa’

Page 53: tecnicas_digitais_mt

55

13.3 FLIP-FLOP JK MESTRE ESCRAVO

Suponha que o clock está inicialmente no nível zero. Nessa condição, o bloco mestre está inativo e variações nas entradas J e K não produzem mudanças na saída. Quando o clock passa para 1, o circuito escravo é bloqueado, mantendo a saída Q anterior. Variações nas entradas produzem variações em Qm e Qm mas não afetam a saída porque CK é zero. Quando o clock passa para zero, o mestre é bloqueado e o escravo, liberado. Assim, ele assume a saída correspondente ao estado anterior à transição. E a tabela de verdade é a mesma do tipo anterior, considerando que as mudanças só ocorrem nas transições de 1 para 0 do clock.

J K Q 0 0 Qa 0 1 0 1 0 1 1 1 Qa

Page 54: tecnicas_digitais_mt

56

Alterando os níveis lógicos das entradas J e K do flip-flop JK mestre escravo:

13.4 FLIP-FLOP T

Page 55: tecnicas_digitais_mt

57

13.5 FLIP-FLOP D

Exercícios: 1) Desenhe a forma de onde da saída Q para um flip-flop SR a partir das formas de onda das entradas mostradas na figura a seguir:

Page 56: tecnicas_digitais_mt

58

2) Desenhe as formas de onda de saída a partir das seguintes entradas para um Flip-Flop tipo D com clock ativo em nível ALTO (o clock permanece habilitando o FF enquanto estiver em nível lógico 1).

3) Desenhe as formas de onda das saídas Q e Q em função do Flip-Flop representado a seguir:

Page 57: tecnicas_digitais_mt

59

14. REGISTRADOR DE DESLOCAMENTO Registrador de Deslocamento ou Shift Register é um circuito digital cujo objetivo é converter dados binários entre o formato paralelo e formato serial. O registrador possui quatro configurações diferentes, dadas pelo modo como os dados (bits) entram e como são transmitidos do registrador para outro circuito.

Page 58: tecnicas_digitais_mt

60

Configurações básicas • Modo serial: os dados são recebidos e/ou transmitidos um bit por vez, em uma única linha; • Modo paralelo: mais de um bit que compõem os dados são recebidos e/ou transmitidos simultaneamente, em mais de uma linha. De acordo com os modos de entrada e saída dos bits, os registradores podem ser classificados como: Registrador série-série:

Registrador série-paralelo:

Registrador paralelo-paralelo:

Page 59: tecnicas_digitais_mt

61

Registrador paralelo-série:

O número de bits que um registrador pode armazenar é igual ao número de flip-flops que o compõe. Nas diferenças entre o modo serial e o modo paralelo podemos notar que o modo paralelo fornece simultaneamente a entrada e/ou saída dos bits, sendo mais rápido, porém exige mais linhas de entrada e/ou saída (mais circuitos ligados ao registrador). No modo serial os bits deslocam-se em sincronia, sendo mais lento porque no intervalo de tempo em que um bit é deslocado no modo paralelo pode ser deslocado mais de um bit, mas o serial exige somente um circuito ligado à entrada e/ou saída do registrador.

Os registradores de deslocamento utilizam entrada e/ou saída seriais e os dados movimentam-se internamente, seu funcionamento depende da forma como são ativadas as entradas CK, MR’, PL e S: • Pa, Pb, Pc e Pd são as entradas paralelas; • Qa, Qb, Qc, Qd são as saídas paralelas, Qa também é a saída serial; • CK é a entrada de pulso de clock que possibilita o deslocamento dos dados do registrador; • MR’ é a entrada máster reset que habilita as entradas clear (CL’) de todos os flip-flops, fazendo com que as saídas Qd, Qc, Qb e Qa fiquem resetadas (em nível lógico 0); • PL é a entrada paralela (parallel load) e habilita as entradas paralelas transferindo-as para as saídas paralelas; • S é a entrada serial, é por onde os dados entram serialmente para serem armazenados no registrador. Se as entradas PR (preset) tiverem habilitadas (PR = “1”), levam a saída do respectivo flip-flop para “1”, se desabilitadas (PR = “0”) não mudam o valor da saída no flip-flop. As entradas CL (clear) se habilitadas (CL = “1”) levam a saída à “0”, se desabilitada (CL = “0”) não mudam o valor da saída do flip-flop. É bom observar que para habilitar as entradas CL de cada flip-flop, existe uma única entrada MR’ que esta invertida. Logo, para habilitar CL de cada flip-flop deve-se aplicar o sinal “0” para evitar a confusão e a troca de sinais. Assim, o sinal de nível lógico “0” limpa ou zera a saída de todos os flip-flops. Este registrador é denominado síncrono porque os pulsos de clock ativam todos os flip-flops simultaneamente. Porém as entradas MR’ e PL são denominadas assíncronas, pois independem do pulso de clock. Os modos de funcionamento de registrador de deslocamento são:

Page 60: tecnicas_digitais_mt

62

Série-série: Neste modo e nos seguintes, os quais exigem entrada serial, a entrada paralela PL é desabilitada (no diagrama anterior PL = 0) e os bits entram serialmente (um por vez) pela entrada S. A cada pulso de clock os bits passam para a saída do flip-flop o para a entrada do próximo flip-flop logo à direita, ou seja, deslocam-se para a direita e são transmitidos a outro circuito por Qa. O primeiro bit que se deseja obter em Qa é o primeiro bit que entra por J. Então, por exemplo, os bits 1101 são armazenados no registrador série-série na ordem 1101, para sair em Qa na ordem que entraram por S. Caso se queira, pode-se habilitar o reset do registrador (MR’ = 0) e desabilitá-lo em seguida (MR’ = 1), zerando todas as saídas dos flip-flops antes da entrada de novos dados. Série-paralelo: Funciona da mesma forma que o registrador série-série, com a diferença de que as saídas paralelas são obtidas diretamente das saídas Qa e Qd. Para que isto ocorra, deve-se aguardar o último pulso de clock para que a saída do último flip-flop do registrador seja atualizada com o último bit do dado de entrada, para então efetuar a transmissão paralela. Paralelo-paralelo: O registrador é resetado pela habilitação do master reset (MR’ = 0) que logo após é desabilitado (MR’ = 1). Devemos observar que as saídas do flip-flop devem ser resetadas sempre que a entrada paralela for habilitada (PL = 1), evitando erros nas saídas dos flip-flops. Deste modo os bits em Pa, Pb, Pc e Pd são enviados às saídas dos flip-flops caso os valores sejam “1”. Caso algum bit em Pa e Pd sejam “0”, então as respectivas saídas Qa e QD não são modificadas, e como foram resetadas, permanecem em “0”. A transmissão do dado se faz da mesma forma que no modo anterior. Paralelo-série: Neste modo a entrada se procede da mesma forma que no modo anterior, porém a transmissão dos dados se faz de forma serial, dependente do sinal de clock, para que os bits saiam por Qa. Assim, a entrada de dados paralela só pode ser feita, após o último bit do dado ser transmitido (deslocado) por Qa. Logo após este processo, o registrador deve ser resetado e os novos dados podem entrar paralelamente.

15. CONTADORES Contadores são um dos dispositivos mais comuns, sejam em equipamentos eletrônicos autônomos, operando como controladores de freqüência, geradores de rampa para conversores tipo ADC (analog to digital converter), qualquer sistema de controle de transmissão de dados por rede, etc. Os contadores são baseados no uso de algumas propriedades de memória dos flip-flops. Como exemplo, vamos analisar a tabela verdade de um flip-flop JK. É interessante observar que, quando estamos utilizando um dispositivo que depende do tempo para operar, é necessária uma definição de, em que tempo, será analisada a lógica de entrada do sistema. Imagine que um dos sinais de entrada de um flip-flop qualquer é um sinal que apresenta variações temporais abruptas. Temos que definir onde se dará a análise do tempo na lógica, i.e. onde os valores de entrada serão analisados. Para tanto, em geral estes componentes dinâmicos são sensíveis à variação da tensão, operando na borda de subida ou de descida (mais freqüente). Isto significa que, todas as modificações no valor do sinal serão desconsideradas, a menos que aconteçam em um intervalo de tempo curto, no qual (espera-se) que os dados não sofram modificações, a fim de que a lógica possa ser aplicada. Um contador operando com flip-flops é mostrado na figura abaixo.

Page 61: tecnicas_digitais_mt

63

O gráfico a seguir exemplifica como o sistema irá operar lembrando da tabela verdade e de que este flip-flop é sensível à borda de descida, como pode ser visto em seu datasheet.

CONTADORES ASSÍNCRONOS Contadores são dispositivos de múltiplas e importantes aplicações e, na Eletrônica Digital, são facilmente implementados com flip-flops. Estes foram denominados assíncronos porque as entradas de controle (clock) dos diversos flip-flops que os compõem não trabalham na mesma freqüência. A Figura a seguir dá o esquema destes circuitos. São usados 4 flip-flops tipo mestre-escravo ligados em cascata, com a saída Q de cada um ligada à entrada de clock do seguinte.

As entradas J e K de cada flip-flop são mantidas no nível 1.

Page 62: tecnicas_digitais_mt

64

Supondo que inicialmente todos os flip-flops estão no nível 0, o comportamento pode ser visto pelos gráficos a seguir:

Considera-se que a partir de determinado instante, uma seqüência de pulsos retangulares é aplicada na entrada de clock “E” do flip-flop número 0, conforme mostra o gráfico. Já vimos que flip-flops tipo mestre-escravo só mudam de estado na descida (transição de 1 para 0) dos pulsos de clock. Assim, a saída do flip-flop 0 não acompanha exatamente a entrada de clock e o resultado é uma seqüência de pulsos com o dobro da largura. E assim ocorre de forma análoga para os demais. Desde que os flip-flops estão ligados em cascata, as larguras de pulso dobram em cada etapa, o que é claramente visto no gráfico acima

E S3 S2 S1 S0 nada 0 0 0 0

1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1

10 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 0 1 14 1 1 1 0 15 1 1 1 1 16 0 0 0 0

Na Tabela acima, os valores da coluna “E” são apenas números seqüenciais dos pulsos de entrada e as demais colunas contêm os níveis lógicos das saídas de acordo com os gráficos anteriores, considerando S3 o bit mais significativo (MSB) e S0 o bit menos significativo (LSB). Pode-se notar que os valores das saídas correspondem às contagens em números binários dos pulsos de entrada. E o processo é reiniciado após o décimo sexto pulso.

Page 63: tecnicas_digitais_mt

65

Voltando ao gráfico dos pulsos, pode-se verificar que o circuito opera também como um divisor de freqüência. Se as larguras dos pulsos, isto é, os períodos são dobrados, as freqüências são reduzidas pela metade porque freqüência é o inverso do período. S0 tem freqüência igual à metade da freqüência de entrada, S1 a metade da de S0 e assim sucessivamente, ou seja, todos os flip-flop divide a freqüência de entrada por dois. ASSÍNCRONO DE DÉCADA O circuito do tópico anterior conta seqüências de 16 pulsos e não é difícil concluir que esse número é resultado de 2n, onde n é o número de flip-flops (4 no caso). Entretanto, em muitos casos, se faz necessário que a contagem seja feita em seqüências de 10 pulsos (ou décadas), a base usual de numeração.

Desde que 10 não é potência inteira de 2, pode ser usado o artifício indicado na Figura 01 deste tópico: uma porta NAND com a saída conectada nas entradas CLEAR dos flip-flops. As entradas da porta recebem os valores S3, S2’ (equivalente a Q’ do flip-flop 2), S1 e S0’ (equivalente a Q’ do flip-flop 0). Assim, quando o valor nessas entradas for igual a 1010 (10 em binário), as entradas CLEAR serão nulas, zerando os flip-flops e reiniciando a contagem. Observar que o artifício pode ser ajustado para qualquer tamanho da seqüência, desde que menor que 2n, onde n é o número de flip-flops. CONTADORES ASSÍNCRONOS DECRESCENTES Os circuitos que vimos até aqui contam de forma crescente. Algumas aplicações exigem forma contrária, isto é, decrescente. Na contagem decrescente, as saídas são complementos dos valores da tabela dada anteriormente, ou seja, 1111, 1110, etc. Assim, um meio de se obter contagem decrescente é simplesmente considerar, no circuito do contador assíncrono, as saídas S0 a S3 como as saídas Q’ dos respectivos flip-flops, conservando as ligações entre Q e CK dos flip-flops adjacentes.

Outra forma é modificar o circuito para o da Figura acima. As entradas de clock recebem as saídas Q’ e não Q, permanecendo estas últimas como saídas. A análise gráfica pode ser feita de forma similar ao tópico 3 e, por isso, aqui não é colocada. Havendo necessidade de contagem crescente ou decrescente, pode ser usado um arranjo conforme segue a seguir.

Page 64: tecnicas_digitais_mt

66

Os três blocos “B” atuam como chaves lógicas e o circuito se comporta ora como assíncrono crescente, ora como assíncrono decrescente, dependendo, para isso, do nível lógico da entrada de controle “C”.

CONTADORES SÍNCRONOS Conforme visto anteriormente, nos contadores assíncronos os flip-flops são ligados em cascata e trabalham em diferentes freqüências. Na realidade, cada um opera na metade da freqüência do anterior. Os circuitos são simples e, em princípio, pode parecer que atendem todas as necessidades. Entretanto, os circuitos práticos apresentam pequenas diferenças e variações de tempos de resposta e, portanto, erros podem ocorrer com freqüências mais altas.

SINCRONO DE DÉCADA Nos contadores síncronos este problema é minimizado porque todos os flip-fops, recebem nas entradas de clock, o mesmo sinal, isto é, os pulsos a contar. O esboço de um contador síncrono de 4 dígitos binários é dado na figura anterior, onde cada flip-flop recebe a mesma entrada “E” e as saídas Q são os dígitos resultantes da contagem, de forma similar ao assíncrono. A tarefa agora é achar ligações e blocos lógicos entre os flip-flops de forma que a contagem seja efetivada com a entrada de clock comum. Consideramos agora que, para este circuito síncrono da figura anterior, desejamos um meio de fazê-lo contar repetidamente seqüências de 10 pulsos. Assim, as saídas S3 a S0 devem assumir valores binários de 0000 a 1001, incrementados 1 a 1 conforme tabela a seguir. É evidente que, conforme o circuito, cada saída S é a mesma saída Q do respectivo flip-flop.

Page 65: tecnicas_digitais_mt

67

Para a tabela a seguir vamos considerar Ø quando for indiferente, ou seja não importa se é 0 ou 1.

Pulso S3 S2 S1 S0 J3 K3 J2 K2 J1 K1 J0 K0 1 0 0 0 0 0 Ø 0 Ø 0 Ø 1 Ø 2 0 0 0 1 0 Ø 0 Ø 1 Ø Ø 1 3 0 0 1 0 0 Ø 0 Ø Ø 0 1 Ø 4 0 0 1 1 0 Ø 1 Ø Ø 1 Ø 1 5 0 1 0 0 0 Ø Ø 0 0 Ø 1 Ø 6 0 1 0 1 0 Ø Ø 0 1 Ø Ø 1 7 0 1 1 0 0 Ø Ø 0 Ø 0 1 Ø 8 0 1 1 1 1 Ø Ø 1 Ø 1 Ø 1 9 1 0 0 0 Ø 0 0 Ø 0 Ø 1 Ø

10 1 0 0 1 Ø 1 0 Ø 0 Ø Ø 1 0 0 0 0

Consideramos que a primeira linha (pulso 1) corresponde à transição deste para o pulso 2. Assim, S3 (ou Q3) vai de 0 para 0 e, conforme a tabela de flip-flop, J3 e K3 serão respectivamente 0 e Ø. S2 e S1 também vão de 0 para 0 e, assim, os dados de J2/K2 e J1/K1 também serão 0 e Ø. Enquanto que S0 muda de 0 para 1. Portanto, J0 e K0 serão 1 e Ø respectivamente. A tabela é completada com o uso de procedimento similar, lembrando que, no pulso 10, a transição é para valores de S3, S2, S1 e S0 iguais a 0000, ou seja, o reinício da contagem. Podemos concluir que o circuito funcionará como um contador de década síncrono se cada entrada J e K de flip-flop receber a saída de um circuito combinatório de entradas S3 a S0 e tabela de verdade. Desde que são oito o total de entradas J e K, serão necessários oito circuitos combinatórios, que podem ser traçados com o uso dos mapas de Karnaugh, já vistos anteriormente. Diagramas para o contador síncrono: A Figura a seguir dá os diagramas para as quatro primeiras entradas de flip-flops da tabela do tópico anterior. Lembrar que o termo "entrada" se refere aos flip-flops. Na realidade, também serão saídas de circuitos combinatórios com entradas S3 S2 S1 S0 conforme já mencionado. Desde que o circuito não opere com valores de S3 S2 S1 S0 acima de 1001, os valores de saída nos diagramas devem ser considerados indiferentes (Ø) para maximizar a simplificação.

Page 66: tecnicas_digitais_mt

68

Portanto: J3 = S2 S1 S0; K3 = S0; J2 = S1 S0 e K2 = S1 S0. A figura seguinte dá o diagrama para as entradas restantes.

O resultado é: J1 = S3 S0; K1 = S0; J0 = 1; K0 = 1. Circuito para o contador síncrono de década Com o uso de dois blocos “E” de duas entradas e um de três entradas, é possível aplicar os valores nas entradas dos flip-flops de acordo com os resultados do tópico anterior. Desta forma, o circuito básico do contador é dado a seguir:

Procedimento similar pode ser usado para contadores de outras seqüências e contadores que operam de forma crescente ou decrescente. Neste último caso, basta acrescentar na tabela uma variável de controle que seja, por exemplo, 0 para a parte crescente e 1 para a decrescente. Com 4 flip-flops, a simplificação é mais trabalhosa, pois, neste caso, os diagramas de Veitch-Karnaugh serão de 5 variáveis. Exemplo de circuito Integrado do contador síncrono A Figura baixo, mostra a identificação dos pinos do circuito integrado 74F162A da Fairchild Semiconductor.

Se trata de um contador de década síncrono, que pode operar com freqüências de até 120 MHz. Tensão típica de alimentação (Vcc) na faixa de 4,5 a 5,5 V. É evidente que dispõe de características e recursos não encontrados no circuito básico que estudamos anteriormente. Q3 Q2 Q1 Q0 são as saídas, equivalentes a S3 S2 S1 S0 do circuito já estudado no tópico anterior. TC (terminal count) indica o fim da contagem e é usado para implementar contadores em vários estágios (exemplo: unidades, dezenas, centenas). CP é a entrada dos pulsos a contar (clock).

Page 67: tecnicas_digitais_mt

69

P3 P2 P1 P0 são entradas paralelas cujos valores podem ser transferidos para as saídas Q3 Q2 Q1 Q0 mediante condição dada na tabela abaixo. Outros modos também são dados na mesma tabela.

SR’ PE’ CET CEP Modo 0 Ø Ø Ø Limpar (reset) 1 0 Ø Ø Carrega Pn Qn 1 1 1 1 Contar 1 1 0 Ø Parar 1 1 Ø 0 Parar

16. CONVERSORES DIGI-ANA Na Eletrônica Digital, conversores são circuitos que transformam grandezas analógicas em digitais ou vice-versa. Isto é uma necessidade imposta pela prática. Em muitos casos, há grandezas analógicas que precisam ser convertidas em digitais, como, por exemplo, a saída de tensão de um sensor de temperatura de um termômetro digital. Em outros casos, a operação inversa é usada.

As Figuras 01 (a) e 01 (b) dão os diagramas de blocos básicos dos conversores analógico-digitais (AD) e digital-analógicos (DA). Em alguns casos, a entrada e saída são analógicas e uma configuração como a indicada na figura c, pode ser aplicada. Os conversores digital-analógicos são consideravelmente mais simples que os analógico-digitais. Na realidade, vários tipos de conversores analógico-digitais usam conversores digital-analógicos como parte do circuito. Portanto, estes últimos devem ser vistos em primeiro lugar. Somador com amplificador operacional Embora um conversor digital-analógico possa ser implementado apenas com resistores e diodos, é mais comum o uso do amplificador operacional para proporcionar tensões de saída em níveis razoáveis, evitando valores muito baixos que ocorrem no caso de resistores e diodos.

O circuito da figura acima é o básico de um somador com amplificador operacional. Neste momento não é objetivo dar informações sobre amplificadores operacionais. Porém neste circuito pode ser visto que a tensão de saída Vs é dada por: Vs = - Rr [ (Va/Ra) + (Vb/Rb) + (Vc/Rc) ] Se Ra = Rb = Rc = R, o circuito faz a soma porque: Vs = - (Rr/R) ( Va + Vb +Vc ).

Page 68: tecnicas_digitais_mt

70

Conversor digital-analógico tipo R-2nR As entradas Va, Vb, Vc e Vd são as entradas digitais do conversor, correspondendo Va ao bit mais significativo. Assim, essas entradas só podem ter valores 0 ou 1 em termos lógicos. O valor físico depende do projeto do circuito. Aqui consideramos 5V, isto é, nível 1 é igual a 5 V.

O circuito da Figura 01 é o mesmo somador do tópico anterior, acrescido de uma entrada para formar um conversor de 4 dígitos binários (4 bits). Os resistores Ra, Rb ... têm valores relacionados com 2n (1R, R2, 4R, ...). Adaptando a função Vs do tópico anterior para o circuito acima, isto é, adicionando uma entrada, temos: Vs = - Rr [ (Va/R) + (Vb/2R) + (Vc/4R) + (Vd/8R) ] ou Vs = - (Rr/R) [ (Va/1) + (Vb/2) + (Vc/4) + (Vd/8) ] Considerando um caso particular de Rr = R, a fórmula anterior fica:

A (Va) B (Vb) C (Vc) D (Vd) |Vs| (V) 0 0 0 0 0,000 0 0 0 1 0,625 0 0 1 0 1,250 0 0 1 1 1,875 0 1 0 0 2,500 0 1 0 1 3,125 0 1 1 0 3,750 0 1 1 1 4,375 1 0 0 0 5,000 1 0 0 1 5,625 1 0 1 0 6,250 1 0 1 1 6,875 1 1 0 0 7,500 1 1 0 1 8,125 1 1 1 0 8,750 1 1 1 1 9,375

Vs = - [ (Va/1) + (Vb/2) + (Vc/4) + (Vd/8) ]. A tabela é montada supondo, conforme já dito, que entrada lógica 0 é 0 V e 1 é 5 V (não considerado o sinal negativo da saída pois o que interessa são os valores absolutos para demonstrar o funcionamento do circuito). Para a primeira linha de dados (0000) o valor da saída é naturalmente zero. Para a segunda linha (0001) é | Vs | = 0/1 + 0/2 + 0/4 + 5/8 = 0,625 V. Para a terceira linha (0010) temos: | Vs | = 0/1 + 0/2 + 5/4 + 0/8 = 1,250 V.

Page 69: tecnicas_digitais_mt

71

Repetindo o cálculo para as demais linhas, o resultado é o informado na tabela. Pode-se observar que os valores analógicos da saída são proporcionais aos valores digitais das entradas, com intervalo de 0,625 V correspondendo ao intervalo 1 da entrada digital. Vamos notar que o intervalo (e, portanto, a máxima tensão de saída) depende da relação Rr/R (1 neste exemplo) e que ela pode ser modificada para resultar em valores adequados ao circuito. O número de dígitos binários da entrada também pode ser modificado, bastando adicionar ou remover resistências de entrada, obedecendo a relação 2nR (exemplo: para 5 dígitos binários, a resistência da entrada adicional Ve seria 16 R). Conversor digital-analógico tipo R-2R O conversor do tópico anterior apresenta uma desvantagem de implementação, há uma necessidade de várias resistências com valores múltiplos de potências inteiras de 2. Considerando que, em geral, o número mínimo de bits com que se trabalha é oito, a resistência da entrada do dígito menos significativo deve ser 256 vezes a do mais significativo. Tanta diferença pode levar a correntes fora da faixa de operação dos circuitos. Além, é claro, da necessidade de resistores com valores especiais. Mas se pode imaginar usar apenas resistores de valor R e fazer séries destes para os demais. Neste caso, o número deles seria consideravelmente aumentado.

O conversor da figura acima usa a chamada malha R-2R, nome dado em razão da existência de apenas 2 valores de resistências no circuito de entrada (R e 2R). Para análise do circuito, deve ser lembrado que o ponto O tem potencial nulo ou próximo, e é chamado de terra virtual. O circuito equivalente para a entrada é dado na Figura a seguir. A tensão de entrada para o amplificador operacional pode ser considerada a tensão entre o ponto P e a massa.

Na análise, consideramos V a tensão do nível lógico 1. Para a situação 0000 (Va = Vb = Vc = Vd = 0), temos naturalmente tensão nula na entrada e saída também nula. Para a condição 0001 (Va = 0, Vb = 0, Vc = 0, Vd = V. Notar que Va é o dígito mais significativo), podemos considerar as entradas nulas com o mesmo potencial da massa e o circuito equivalente é dado na figura abaixo:

Simplificando o circuito por associações sucessivas de resistências em paralelo e em série, pode-se deduzir que a resistência entre o ponto Z e a massa é R. Assim, a tensão Vzo = V/3. A resistência entre o ponto Y e o ponto O é R e, portanto, a tensão Vyo = Vzo/3 = V/6. De forma análoga pode-se concluir que Vxo = Vyo/2 = V/12 e Vpo = Vxo/2 = v/24.

Page 70: tecnicas_digitais_mt

72

A figura dá o diagrama equivalente para a situação 0010 (Va = 0, Vb = 0, Vc = V, Vd = 0). A resistência entra y e massa é R. Assim Vyo = V/3. E, de forma análoga à anterior, Vpo = V/12. O mesmo procedimento pode ser repetido para as demais combinações, mas dá para deduzir (e realmente ocorre) que para 0100 Vpo = V/6 e para 1000 Vpo = V/3.

A (Va) B (Vb) C (Vc) D (Vd) Vpo (V) |Vs| (V) 0 0 0 0 0,00 0,00 0 0 0 1 0,20 0,40 0 0 1 0 0,40 0,80 0 0 1 1 0,60 1,20 0 1 0 0 0,80 1,60 0 1 0 1 1,00 2,00 0 1 1 0 1,20 2,40 0 1 1 1 1,40 2,80 1 0 0 0 1,60 3,20 1 0 0 1 1,80 3,60 1 0 1 0 2,00 4,00 1 0 1 1 2,20 4,40 1 1 0 0 2,40 4,80 1 1 0 1 2,60 5,20 1 1 1 0 2,80 5,60 1 1 1 1 3,00 6,00

Para combinações com mais de um dígito 1, a tensão resultante é a soma do desmembramento. Exemplo: para 0011, Vpo é a soma do caso 0001 com o caso 0010, ou seja, Vpo = V/12 + V/24. A fim de simplificar as divisões, consideramos a tensão do nível 1 V = 4,8 volts. E a resistência de realimentação do circuito, como na figura, Rr = 4R. De acordo com a igualdade que já estudamos (considerando, conforme já dito, apenas uma resistência de entrada igual a 2R), temos: Vs = - Rr/2R (Vpo) = - 2 Vpo. E a tabela pode ser montada com essas hipóteses e os valores calculados. Exemplo de circuito integrado A Figura abaixo dá a identificação dos pinos do circuito integrado DAC7621. Este CI é um conversor digital analógico de 12 bits, fabricado pela Burr-Brown, para aplicações como controle de processos, periféricos de computadores, instrumentos, etc.

As entradas digitais são marcadas por DB0 a DB11 e existe um terra específico para as mesmas (DGND). O pino AGND é o terra analógico, para a saída analógica (Vout) e tensão de alimentação.

Page 71: tecnicas_digitais_mt

73

Cada unidade binária de entrada corresponde a 1 mV de saída, que varia portanto de 0 V (000H na entrada) até 4,095 V (FFFH na entrada). As entradas digitais passam antes da conversão por dois registradores temporários: registrador de entrada (Reg in) e do conversor (Reg DAC).

R/W’ CS’ LDDAC’ Reg In Reg DAC Modo 0 0 0 escreve escreve escrever 0 0 1 escreve mantém escr entr 1 0 1 lê mantém ler entr Ø 1 0 mantém atualiza atualizar Ø 1 1 mantém mantém manter

E as entradas auxiliares (R/W’, CS’ e LDDAC’) manipulam as operações conforme a tabela. A entrada CS’ ("chip select") é para o caso de haver mais de um dispositivo no circuito. A entrada CLR ("clear") zera o dispositivo. Alimentação Vdd é de 4,75 a 5,25 volts.

17. CONVERSORES ANA-DIGI Conversor tipo paralelo É provavelmente a forma mais simples e direta de conversão. A figura abaixo dá o diagrama básico para saída em três dígitos binários. Uma tensão de referência (4,8 V no exemplo) é aplicada na série de divisores de tensão formados por R1 a R7, de idênticos valores (R).

Os blocos C1 a C7 são comparadores: se o sinal em (+) for maior que em (-), a saída é 1 e nula nos demais casos.

Page 72: tecnicas_digitais_mt

74

Suponhamos, por exemplo, que 2 volts são aplicados na entrada analógica: C1, C2 e C3 terão saída 1 e C4, C5, C6 e C7 terão saída 0. Ou, de baixo para cima, 0001111. X1 a X7 são blocos tipo OU EXCLUSIVO, ou seja, a saída é nula se as entradas são iguais e 1. Considerando a entrada anterior (C1 a C7 = 0001111), temos as saídas X1 a X7 = 0010000. Portanto, um nível de tensão na entrada analógica é convertido em uma única saída 1 nos blocos X1 a X7. Se a entrada analógica é nula (ou melhor, menor que 0,6 V neste caso), todas as saídas X serão nulas e, portanto, as saídas digitais ABC também serão nulas (devido a esta situação particular, são usados 7 comparadores e 7 portas XOR e não 8). Nos demais casos, apenas uma das saídas X têm valor 1, dependendo da faixa da tensão analógica de entrada. Para a transformação em uma seqüência de dígitos binários, os diodos nas saídas são suficientes, dispensando decodificadores mais elaborados. Os números binários nas saídas dos diodos indicam a situação quando a saída da respectiva porta X está em 1. Assim, tensões analógicas na entrada são convertidas em números binários de 3 dígitos. É evidente que a conversão se dá de forma escalonada, isto é, tensões que variam dentro de valores consecutivos do divisor têm a mesma saída digital (exemplo: no circuito dado, uma tensão de 0,8 V tem a mesma saída digital de uma tensão de 1,1 V). Isso também ocorre com os outros tipos e o valor mínimo de variação que é perceptível pelo circuito é chamado resolução do mesmo. É também fácil concluir que a resolução depende do número de dígitos binários (bits) da saída. No exemplo dado, de 3 bits, temos resolução = 1/23 = 0,125 ou 12,5%. Este tipo de conversor é, conforme já mencionado, simples e eficiente. No caso de variações rápidas da tensão de entrada, a resposta depende somente das características dos circuitos comparadores e portas lógicas. Outro aspecto positivo: no exemplo dado, R0 a R7 têm o mesmo valor e, portanto, a saída varia linearmente com a entrada. O uso de valores adequadamente diferenciados permite conversões não lineares como, por exemplo, logarítmicas. Embora isto seja possível com outros tipos, o processo não é tão fácil quanto a simples seleção de valores de resistores. Entretanto, o circuito apresenta uma limitação prática devido ao elevado número de componentes necessários. Pelo circuito dado, pode-se concluir que o número de resistores, comparadores e portas XOR (sem contar os diodos) é (2n - 1) para cada, onde n é o número de bits de saída. Considerando que o mínimo usual é 8 bits, esse número seria 255. Para 16 bits, 65535. Outros tipos foram desenvolvidos para evitar esse inconveniente. Conversor tipo rampa digital Este conversor usa um artifício comum a vários outros tipos: conforme Figura 01, a saída de um contador (de 4 bits neste exemplo) é ligada na entrada de um conversor digital analógico. Supomos de início que a entrada de clock do contador é continuamente alimentada com uma seqüência de pulsos. Nesta situação, a tensão Vcon na saída S do conversor varia entre 0 e um valor Vmax, que depende do contador e das características do conversor digital analógico. Um ciclo dessa variação pode ser visto no gráfico na parte inferior esquerda da figura.

Page 73: tecnicas_digitais_mt

75

Mas no circuito há um comparador e uma porta E na entrada de clock. Enquanto a tensão Vcon for menor que a da entrada analógica Ea, a saída do comparador é 1 e os pulsos de clock são dirigidos ao contador. No momento em que Vcon se torna maior que Ea, a saída do comparador passa para 0, bloqueando os pulsos de clock e, portanto, a contagem. Desde que a saída do comparador também vai para a entrada de clock dos flip-flops (tipo mestre-escravo), o valor digital da saída do contador é armazenado nos mesmos (lembrar que flip-flops tipo mestre-escravo só permitem a mudança de estado na transição de 1 para 0 do clock). Portanto, a saída digital armazenada nos flip-flops tem relação linear com a entrada analógica Ea desde que, é claro, ela esteja dentro da faixa 0-Vmax. O circuito básico apresentado não opera continuamente. A contagem pára após a primeira interrupção. O reinício é dado pela aplicação do nível 0 na entrada clear do contador, o que pode ser facilmente implementado de forma automática. Conversor tipo rastreamento Usa o mesmo princípio básico do tipo anterior, mas o arranjo é mais elaborado, resultando em um circuito mais simples.

Page 74: tecnicas_digitais_mt

76

Os pulsos de clock alimentam continuamente a entrada do contador, o qual dispõe de uma entrada digital que comuta, de acordo com o nível lógico, o sentido da contagem (crescente ou decrescente), conforme já visto. Enquanto a entrada analógica “Ea” é maior que Vcon, a saída do comparador é 1 e o contador opera de modo crescente. Quando Vcon se torna maior que Ea, a saída do comparador vai para 0 e o contador opera de forma decrescente. Isto leva Vcon para um valor imediatamente abaixo de Ea, invertendo o processo. Assim, considerando Ea constante, o contador opera continuamente entre dois valores próximos de Ea, não havendo necessidade dos flip-flops de armazenamento. Se o valor de Ea muda, o patamar de operação também muda.

18. MEMÓRIAS Classificação de memórias digitais As memórias que armazenam informações digitais podem ser classificadas segundo alguns critérios funcionais. Ver quadro abaixo.

Critério Variação I Variação II (a) Acesso Seqüencial Aleatório (b) Persistência dos dados Volátil Não volátil (c) Alterações de dados Somente leitura Leitura / escrita

Nas memórias de acesso seqüencial, o tempo de escrita e/ou leitura de um dado depende da posição do mesmo. É o caso de discos e fitas magnéticas (disquetes, discos rígidos, etc) e discos óticos (CDs). Nas memórias de acesso aleatório, o tempo independe da posição do dado. São normalmente implementadas com circuitos lógicos. As memórias voláteis perdem os dados armazenados se a alimentação elétrica do dispositivo é removida. Em geral são as memórias feitas de circuitos lógicos, mas existem tipos que preservam os dados. Nas memórias não voláteis, os dados são preservados na falta de alimentação elétrica. É o caso de discos e fitas magnéticas e discos óticos. Nas memórias de somente leitura, os dados são gravados em fábrica e não podem ser posteriormente alterados, em contraste com as de leitura /escrita, cujos dados podem ser livremente modificados. Dispositivos de discos ou fitas magnéticas são em geral de leitura / escrita (disquetes, discos rígidos, etc). Discos óticos podem ser de um ou de outro tipo (CD comum, CD gravável). Memórias com circuitos lógicos também podem ser de apenas leitura ou de leitura / escrita. Aqui tratamos apenas das memórias com circuitos lógicos. Em geral, elas são de acesso aleatório. A sigla inglesa RAM (Random Access Memory, memória de acesso aleatório) é comumente usada para as memórias de operação de computadores, que, além de acesso aleatório, são também voláteis e de leitura / escrita. Mas, tecnicamente, a sigla RAM pode ser aplicada para qualquer memória de acesso aleatório, independente de outras propriedades. Memória estática elementar A figura abaixo dá o arranjo de um circuito de memória estática do mais elementar possível: memoriza apenas um bit de informação em um flip-flop tipo RS. A entrada END é para endereçamento. Para um bloco só, ela não faz muito sentido.

Page 75: tecnicas_digitais_mt

77

Mas num circuito real, com mais de um bloco, ela "conecta" ou "desconecta" logicamente as entradas e saída do flip-flop com uso das portas ES, ER e EQ conforme tópico anterior.

D é a entrada do bit de informação. O inversor faz com que as entradas S e R do flip-flop só possam ser inversas, evitando estado impossível do flip-flop RS (S=1 e R=1). L/E é a entrada que define a operação da memória (leitura ou escrita). Usa a entrada de clock do flip-flop. A tabela que vem a seguir dá um resumo da operação do circuito. É basicamente a operação de um flip-flop, que mantém ou muda o seu valor de acordo com o nível da entrada de clock. END Status L/E Operação Descrição

0 Leitura Se a entrada de clock do flip-flop é zero, o valor da saída não muda,

quaisquer sejam os valores das entradas. Portanto, a saída O tem o valor memorizado. 1 Habilitado

1 Escrita Se a entrada de clock é um, o flip-flop pode mudar de estado. Portanto, a saída Q será o valor que for aplicado em D.

0 Desabilitado Ø Não há As portas E "isolam" o flip-flop e a saída O será sempre 0 para quaisquer valores das entradas.

Para simplificar os esquemas dos circuitos, simbolizamos o circuito da Figura 01 como um único bloco, de forma similar a outros blocos lógicos.

A figura acima exibe a disposição do bloco, isto é, uma "célula" básica de memória estática, que armazena um único bit de informação. Memória de vários bits Uma memória de apenas um bit teria certamente pouca utilidade prática. A natural evolução é a associação de vários blocos elementares do tópico anterior para formar dispositivos de maior capacidade. Evidentemente, o número de bits que podem ser armazenados é igual ao número de blocos elementares.

Page 76: tecnicas_digitais_mt

78

Por exemplo: para armazenar 4 bits, podemos imaginar um circuito com 4 blocos elementares, uma saída para leitura, uma entrada de dado, uma entrada de controle leitura/escrita. Mas isso não é tudo. Precisamos ainda de um meio para selecionar (ou endereçar) o bloco elementar (ou posição de memória) que desejamos operar (ler ou escrever). Esta seleção pode ser perfeitamente executada com um circuito gerador de produtos canônicos, do tipo usado em multiplex e demultiplex. A Figura anterior dá o diagrama básico da memória estática de 4 bits.

Entrada A Entrada B Célula ativa 0 0 0 0 1 1 1 0 2 1 1 3

Para cada combinação das entradas de endereço A e B, há somente uma única saída de valor 1 no gerador de produtos canônicos. Isso ativa a respectiva célula ou posição de memória e mantém as demais inativas. Assim, as entradas de endereço selecionam a posição de memória desejada e, para cada posição, as operações de leitura e escrita ocorrem conforme tópico anterior. A porta OU na saída é o elemento de união das saídas de cada posição de memória. Desde que apenas a posição selecionada pode ser 0 ou 1 e as demais são sempre 0 (inativas), a saída da porta OU acompanha o valor da saída da posição selecionada (ou endereçada). Exemplo de memória de 16 bits O circuito do tópico anterior permite construir memórias com quaisquer números de bits, mas é um arranjo em linha, que, no aspecto construtivo e elétrico, pode não ser o melhor.

Page 77: tecnicas_digitais_mt

79

O circuito da figura anterior usa um gerador de produtos canônicos em forma de matriz. Por razões de simplicidade, o circuito é apresentado em duas partes e as linhas de interligação não são indicadas: (a) é a matriz de produtos canônicos mencionada, de 16 saídas. (b) é a correspondente matriz de 16 blocos elementares de memória. Subentende-se que cada saída (1, 2, 3,..., 15) da matriz (a) está ligada a cada entrada (1, 2, 3, ..., 15) de endereço END da matriz (b). As saídas “O” de cada bloco elementar são ligadas à entrada da porta OU para formar a saída única, de modo idêntico ao do circuito do tópico anterior. Também de forma similar, as entradas de leitura/escrita e de dados são unidas conforme indicado. Portanto, o circuito opera da mesma forma do circuito anterior, com 16 e não 4 bits. Apenas o arranjo físico é diferente. Exemplo: Se A=1, B=1, C=1, D=0, o bloco 14 é ativado, permitindo operações de leitura ou escrita no mesmo. Memórias dinâmicas (DRAM) A evolução das máquinas digitais, em especial dos computadores, tem exigido capacidades cada vez maiores das memórias principais, as conhecidas RAM das placas-mãe. Conforme visto nas páginas anteriores, as memórias estáticas exigem um mínimo de 6 componentes ativos (transistores) por bit armazenado. Apesar da elevada densidade dos atuais circuitos integrados, isso é demais para muitos megabytes em pouco espaço. As memórias dinâmicas usam menos componentes. O nome não é devido a qualquer parte móvel, mas sim ao modo de operação. Desde que são de acesso aleatório (RAM), é comum a denominação pela sigla inglesa DRAM (dynamic RAM). Memórias EPROM e similares As memórias não voláteis e de apenas leitura vistas na página anterior (ROM e PROM) são úteis e encontram uma extensa variedade de aplicações. Os exemplos apresentados são apenas uma pequena amostra. Algumas aplicações, entretanto, requerem alterações, eventuais ou não, de dados gravados, o que não pode ser feito com esses tipos. Nesta página são comentados alguns tipos de memórias não voláteis de semicondutores, que permitem alteração de dados, ou seja, são de leitura e escrita. São também denominadas memórias não voláteis reprogramáveis. A organização básica das células é usualmente em forma de matriz similares às anteriores e, por isso, não é repetida aqui. O propósito principal é dar informações sobre o funcionamento das células elementares. EPROM é a sigla inglesa de Erasable Programable Read Only Memory (memória de somente leitura apagável e programável). A célula básica de uma EPROM é um transistor MOSFET especial, dotado de uma porta flutuante (floating gate) entre a porta normal e o substrato, isolada por uma fina camada de óxido. Para gravar o bit 1, é aplicado um potencial mais alto entre dreno e fonte Vpp, normalmente acima de 12 volts. Alguns elétrons adquirem energia suficiente para atravessar a camada de óxido e carregar a porta flutuante. O apagamento se faz pela exposição à radiação ultravioleta. Os fótons de luz dão aos elétrons energia suficiente para saltar da porta flutuante, retornando o transistor à sua situação inicial. Os circuitos integrados de EPROMs são facilmente identificados pela presença da janela transparente para a operação de apagamento.

Page 78: tecnicas_digitais_mt

80

Memórias EEPROM ou E2PROM As memórias EPROM apresentam elevada densidade de armazenagem, porque apenas um transistor funciona como elemento de programação e acesso. Mas têm suas desvantagens, conforme itens a seguir. - o número de ciclos de gravação é limitado, cerca de 1000 (a radiação ultravioleta torna a camada de óxido ligeiramente condutiva). - o tempo de gravação é relativamente alto. - a operação de apagamento é demorada, exige a retirada do circuito e remove todo o conteúdo da memória. Não pode ser parcial. As memórias EEPROM (Electrically Erasable Programable Read Only Memory) ou E2PROM são construídas com camadas de óxido mais finas e as células são apagadas pela aplicação de tensão inversa da usada na gravação. Isso permite apagamento parcial, mas deve ser feito célula por célula, o que resulta em tempo relativamente alto para a operação. Memórias Flash Conforme visto no tópico anterior, as memórias EEPROM contornam algumas deficiências das EPROMs, mas o apagamento se dá bit a bit, o que inviabiliza o emprego em circuitos que precisam de mudanças rápidas dos dados armazenados.

As memórias Flash operam com o mesmo princípio das EEPROMs, mas as ligações internas formam blocos. Isso torna possível o apagamento simultâneo (flash) de todas as células contidas no mesmo bloco. A figura mostrada dá exemplo de um bloco de memória flash. Na leitura, a linha de palavra selecionada é colocada em nível de tensão suficiente para provocar a condução das células não gravadas e não provocar nas células gravadas. As demais linhas estão em nível zero. E um circuito apropriado pode detectar o estado de cada transistor na linha selecionada pela condução de corrente entre a fonte (comum) e os drenos (em cada coluna de bit). Na escrita, a linha selecionada é submetida a 12 volts e as demais permanecem em zero. A fonte (comum) é conectada à massa e a coluna de bit (dreno) é levada a 6 volts. Para apagar, as coluna de bits são deixadas abertas, todas as linhas de palavras são levadas a zero volts e a fonte (comum) é levada a 12 volts, o que apaga todas as células do bloco.

Page 79: tecnicas_digitais_mt

81

REFERÊNCIAS CONSULTADAS √ - Elementos de Eletrônica Digital Ivan Valeije Idoeta e Francisco Gabriel Capuano Editora Érica Ltda. √ - Apostilas de Circuitos Digitais (13 volumes) Telecomunicações Brasileiras S. A. √ - Projetos de Computadores Digitais Glen George Langdon Jr. e Edson Fregni Editora Edgard Blucher Ltda. √ - Apontamentos de Aula Edson Fraga Grisi