151
АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТ Учебно-методическое пособие для студентов специальности 210106 (200400) заочной формы обучения по курсу: «САПР устройств промышленной электроники» В этом пособии рассматриваются: 1. особенности построения систем автоматизированного проектирова- ния (САПР) и структура программно-технического комплекса САПР для решения задач анализа и синтеза в электронике, изложенные в 1 разделе это теоретическая часть курса (54 часа лекций), работа над теоретическим материалом завершается экзаменом; 2. особенности использования специальных программ (для анализа схем – MicroCAP, для разработки печатных плат – PCAD), изложенные во 2 и 3 разделах - практическая часть курса (36 часов лабораторных занятий), подтверждается зачетом. Каждая тема теоретической части пособия заканчивается блоком кон- троля с теми же вопросами, что и на экзамене, а 2 и 3 разделы содержат указания по выполнению лабораторных работ, которые могут быть выпол- нены внеаудиторно. Тольятти 2006 1

АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТ

Учебно-методическое пособие

для студентов специальности 210106 (200400) заочной формы обучения

по курсу: «САПР устройств промышленной электроники»

В этом пособии рассматриваются:

1. особенности построения систем автоматизированного проектирова-

ния (САПР) и структура программно-технического комплекса САПР для

решения задач анализа и синтеза в электронике, изложенные в 1 разделе –

это теоретическая часть курса (54 часа лекций), работа над теоретическим

материалом завершается экзаменом;

2. особенности использования специальных программ (для анализа

схем – MicroCAP, для разработки печатных плат – PCAD), изложенные во

2 и 3 разделах - практическая часть курса (36 часов лабораторных занятий),

подтверждается зачетом.

Каждая тема теоретической части пособия заканчивается блоком кон-

троля с теми же вопросами, что и на экзамене, а 2 и 3 разделы содержат

указания по выполнению лабораторных работ, которые могут быть выпол-

нены внеаудиторно.

Тольятти 2006

1

Page 2: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Содержание

Введение ........................................................................................................... 3

1.Теоретические сведения о способах построения и принципах действия

составных частей САПР ..................................................................................... 7

1.1. Структура САПР ...................................................................................... 7

1.2. Техническое обеспечение (ТО) САПР ................................................... 9

1.3. Программное обеспечение САПР ........................................................ 16

1.4. Лингвистическое обеспечение .............................................................. 23

1.5. Информационное обеспечение ............................................................. 25

1.6. Математическое обеспечение ............................................................... 28

1.7. Автоматизация на функциональном аспекте проектирования.......... 41

1.8. Автоматизация на конструкторском аспекте ...................................... 51

1.9. Автоматизация на технологическом аспекте проектирования ......... 60

2. Основные сведения о работе с программами для

схемотехнического моделирования ................................................................ 64

2.1. Основные команды и режимы работы редактора схем программы

MicroCAP ....................................................................................................... 64

2.2. Сведения о моделировании элементов электрических схем ............. 86

2.3. Лабораторный практикум по моделированию схем......................... 101

3. Основные сведения о системе для разработки печатных плат P-CAD

(Master Designer).............................................................................................. 115

3.1. Функциональные возможности и структура ..................................... 115

3.2. Графический редактор PCCAPS......................................................... 116

3.3. Графический редактор PCCARDS...................................................... 133

3.4. Создание файла перекрестных ссылок (только под DOS)............... 143

3.5. Упаковка базы данных печатной платы (под DOS).......................... 144

3.6. Автоматическая трассировка печатных плат ................................... 145

3.7. Лабораторный практикум по разработке печатных плат................. 148

Литература ................................................................................................... 151

2

Page 3: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Введение

Начальный этап применения вычислительной техники для анализа

схем относится к 60-м г. XX в. Рынок САПР развивается с 70-х г. XX века,

когда появление больших высокопроизводительных ЭВМ позволило прак-

тически решать вопросы автоматизированного проектирования радиоэлек-

тронных средств (РЭС). Появление массового рынка персональных ком-

пьютеров (ПК) в 80-х г. XX в. дало новый толчок развитию математиче-

ского обеспечения и технических средств САПР во всех отраслях про-

мышленности, сделало возможным перемещение проектной работы из вы-

числительных центров непосредственно на рабочее место конструктора. К

сожалению, отечественные разработки САПР (ПРАМ, ГРИФ, ДИСП и др.)

не выдержали конкуренции с системами иностранного происхождения и

вышли из употребления.

Государственным стандартом Российской Федерации установлена

следующая последовательность стадий разработки конструкторской доку-

ментации на продукцию во всех отраслях промышленного производства, в

том числе и при проектировании РЭС [4].

ГОСТ 2.103-68 "Стадии разработки"

-Техническое предложение - стадия, на которой проводится анализ

технического задания заказчика, анализ различных вариантов существую-

щих и возможных новых инженерных решений, патентные исследования.

В результате разрабатывается документация, содержащая техническое и

технико-экономическое обоснование разработки.

-Эскизный проект - стадия, на которой вырабатываются принципи-

альные инженерные и конструктивные решения, дающие общие представ-

ления об устройстве и принципе работы изделия, а также данные, опреде-

ляющие его назначение и основные параметры.

-Технический проект - стадия, на которой разрабатывается совокуп-

ность конструкторских документов, содержащих окончательные техниче-

3

Page 4: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

ские решения, дающие полное представление об устройстве разрабатывае-

мого изделия.

-Рабочая конструкторская документация - разработка конструктор-

ской документации, предназначенной для изготовления опытного образца

или опытной партии изделий.

По содержанию работ на каждой стадии объем проектирования разде-

ляется на аспекты проектирования [1],[2]:

- функциональный, на котором рассматриваются физико-

информационные процессы в объекте проектирования;

- конструкторский, на котором рассматриваются структура и форма

объекта проектирования;

- технологический, на котором рассматриваются возможности изго-

товления объекта.

На каждом аспекте объект проектирования может рассматриваться с

различной степенью детализации, т.е. аспект разделен на уровни проек-

тирования.

Выполнение на одной стадии одного уровня одного аспекта

проектирования называется этапом проектирования или проектной

процедурой (процедурой синтеза).

Проектирование от низших уровней (от типовых элементов) к выс-

шим называется восходящим, а обратное (от структурной схемы объекта в

целом) – нисходящим. Восходящее проектирование, как правило, менее

затратное, но результат его не оптимален. Нисходящее проектирование

часто приводит к необходимости применения недоступных или несущест-

вующих комплектующих и потому, как правило, итерационно и более за-

тратное, однако приводит к лучшему результату.

Выполнение любого этапа проектирования сопряжено с необходимо-

стью использования особых терминов для описания свойств или режимов

работы объекта. В литературе по САПР такие термины объединены назва-

4

Page 5: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

нием - параметры объектов проектирования. Показатели - это парамет-

ры, предназначенные для количественной оценки степени соответствия

объекта заданию. Правильность работы объекта проектирования определя-

ется по выходным параметрам путем их сравнения с параметрами, задан-

ными в технических требованиях.

Y=TT±∆Y, (1)

где: ∆Y- условия работоспособности,

TT – технические требования.

Выходные параметры объекта связаны функциональной зависимо-

стью с его внутренней организацией его структурой, задающей вид этой

зависимости, и при неизменной структуре определяются:

- внутренними параметрами – X (параметрами элементов) и

- внешними параметрами – Q (условиями использования объекта):

Y=f(Q,X). (2)

Параметры, которые, изменяясь, изменяют свойства объекта, называ-

ются фазовыми переменными.

Рынок САПР предлагает альтернативные пути решения задач на каж-

дой из стадий, а также возможность конверсии результатов из формата од-

них САПР в другие. Прямая передача результатов, полученных на одной

стадии проектирования, на следующую стадию проектирования РЭС воз-

можна, например, при выполнении следующей последовательности [4].

1 шаг: Составление принципиальной электрической схемы модуля

выполняется либо программным пакетом: MicroCAP (с 1 по 3 версию – под

DOS, последующие версии – под Windows), либо средствами графического

редактора PCCAPS из интегрированной САПР PCAD версий 4.5 или 8.5

(одно из прежних названий – Master Designer), работающей в операцион-

ной среде MS-DOS, или позднейших Windows-веpcий, в период c 1996г. по

2000г., носивших название Accel EDA.

5

Page 6: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2 шаг: Моделирование характеристик аналогового или цифрового уз-

ла РЭС, подлежащего впоследствии конструкторскому проектированию,

выполняется на основе SPICE-технологии средствами либо пакета при-

кладных программ PSpice или его позднейших версий фирмы MicroSim

Corporation, развивающей и распространяющей эти программы либо про-

граммными пакетами: MicroCAP, Micrologic, программой PC-LOGS в со-

ставе САПР PCAD.

3 шаг: Проектирование топологии проводящего рисунка печатной

платы. Выполняется средствами интегрированной САПР PCAD. Результа-

том на этом этапе является интегральный образ печатной платы узла, ко-

торый может непосредственно использоваться в "безбумажном" производ-

стве узла, но, как конструкторский документ, не соответствует требовани-

ям ЕСКД. Из возможных альтернатив стоит упомянуть программные паке-

ты OR-CAD, с которым через использование текстового формата возможен

обмен информацией из пакета PCAD.

4 шаг: Довести результат проектирования до состояния конструктор-

ского документа можно, выполнив конверсию данных из формата "элек-

тронной" САПР PCAD в формат "конструкторской" САПР AutoCAD,

«Компас» или другой. Конвертированный образ печатного узла подверга-

ется обработке, в результате которой создаются рабочие конструкторские

документы в соответствии с требованиями ЕСКД.

Результатом прохождения по такой цепочке является комплект конст-

рукторской документации на спроектированный модуль, файлы управ-

ляющей информации для автоматизированного производственного обору-

дования.

6

Page 7: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.Теоретические сведения о способах построения и принципах

действия составных частей САПР

1.1. Структура САПР

В литературных источниках САПР представлена как совокупность

компонентов (видов обеспечения) и, как и любая сложная система, из

подсистем, содержание которых соответствует аспектам и уровням про-

цесса проектирования. Различают подсистемы проектирующие и обслужи-

вающие.

Проектирующие подсистемы используются для выполнения непо-

средственно проектных процедур. Примерами проектирующих подсис-

тем могут служить подсистемы изготовления конструкторской документа-

ции, схемотехнического анализа, трассировки соединений в печатных пла-

тах.

Обслуживающие подсистемы обеспечивают функционирование про-

ектирующих подсистем, их совокупность называют оболочкой САПР. Ти-

пичными обслуживающими подсистемами являются подсистемы управле-

ния проектными данными, подсистемы разработки и сопровождения про-

граммного обеспечения CASE (Computer Aided Software Engineering), обу-

чающие подсистемы для освоения пользователями технологий, реализо-

ванных в САПР.

1.1.1. Структура проектной процедуры

Проектную процедуру удобнее представить в виде алгоритма – после-

довательности выполнения определенных действий.

1. Техническое задание (ТЗ) - совокупность технических требований – век-

тор ТТ и условий работоспособности – вектор ∆Y, см. (1) .

2. Синтез структуры объекта проектирования.

3. Формирование модели структуры (система уравнений –f(X,Q), см. (2)).

7

Page 8: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

4. Расчет параметров модели структуры – вектор X.

5. Анализ модели.

5.1. Составление расчетной модели.

5.2. Решение системы уравнений.

5.3. Определение вектора выходных параметров –Y.

6. Если Y≠TT±∆Y, то формирование нового вектора Х в диапазоне допус-

тимых значений ∆Х.

7. Если ∆Х не исчерпан, то возврат на п.5 – этот цикл называется пара-

метрической оптимизацией.

8. Если Y≠TT±∆Y, то формирование новой структуры из возможных

вариантов.

9. Если варианты структуры не исчерпаны, то возврат на п.3 – этот цикл

называется структурной оптимизацией.

10. Если Y≠TT±∆Y, то изменение ТЗ.

11. Если Y=TT±∆Y, то выход из процедуры, документирование.

1.1.2. Компоненты САПР:

1. Техническое обеспечение – комплекс технических средств (ЭВМ+ пе-

риферийные устройства).

2. Математическое обеспечение – набор моделей, методов и алгоритмов,

лежащих в основе программного обеспечения.

3. Программное обеспечение – комплекс программ, процедур и правил,

обеспечивающий наиболее эффективное использование технических

средств.

4. Лингвистическое обеспечение – совокупность применяемых в САПР

языков.

5. Информационное обеспечение – информационный фонд и средства

управления им.

8

Page 9: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

6. Методическое обеспечение – состав и правила эксплуатации техниче-

ских средств.

7. Организационное обеспечение – положения о составе и функциях под-

разделений, формы документов, регламент.

Кроме компонентов с первой по пятую в данном лекционном курсе

рассмотрены следующие подсистемы:

1. Системное проектирование, т.е. высший уровень функционального ас-

пекта проектирования.

2. Функционально-логическое проектирование, т.е. низший уровень функ-

ционального аспекта проектирования.

3. Схемотехническое проектирование.

4. Конструкторское проектирование.

5. Технологическое проектирование.

Контрольные вопросы

1. Дать понятия аспекта, уровня и стадии проектирования.

2. Объяснить различия восходящего и нисходящего проектирования.

3. Объяснить значение терминов: параметры, показатели, входные и вы-

ходные параметры, фазовые переменные.

4. Перечислить компоненты САПР.

5. Привести структуру проектной процедуры.

1.2. Техническое обеспечение (ТО) САПР

Техническое обеспечение – это комплекс технических средств (КТС)

(ЭВМ и набор периферийных устройств), необходимый для осуществления

заданной степени автоматизации выполняемой проектной работы. Как

правило, в состав ТС входит цифровая ЭВМ Неймановской архитектуры с

набором периферийных устройств, соответствующим специфике автома-

тизированного рабочего места (АРМ).

9

Page 10: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.2.1. Задачи ТО САПР

1. Ввод описания объекта проектирования.

2. Отображение и редактирование объекта проектирования.

3. Преобразование информации.

4. Хранение информации.

5. Отображение результатов.

6. Оперативное общение проектировщика с системой САПР.

1.2.2. Состав ТО САПР

1.2.2.1. Устройства программной обработки данных. Их задача - пре-

образование информации. Состав:

- центральный(ые) процессор(ы);

- спецпроцессоры (математический сопроцессор и т.д.);

- процессоры ввода-вывода;

- оперативная память;

- устройства сопряжения интерфейсов. Интерфейс – это совокупность

оборудования для сопряжения канала ввода-вывода ЭВМ с устройством

управления периферийного устройства (аппаратная часть) и алгоритмов и

сигналов, определяющих порядок передачи данных (программная часть -

протокол).

В САПР ЭВМ классифицируются как:

- универсальные (в настоящее время, как правило, персональный ком-

пьютер – ПК)

- специализированные ЭВМ, спроектированные для высокоэффектив-

ного выполнения узкого круга проектных работ.

Часто для повышения мощности используются многопроцессорные и

многомашинные устройства программной обработки данных (фактически

– сеть ЭВМ).

10

Page 11: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Процессоры изучаются в дисциплине «Микропроцессорные устройст-

ва». Оперативная память на специальности «Промышленная электроника»

изучается на протяжении нескольких дисциплин: «Информатика», «Мик-

роэлектроника», «Электронные промышленные устройства, «Микропро-

цессорные устройства».

1.2.2.2. Внешние запоминающие устройства (ВЗУ). Их задача - хране-

ние информации. Часть этих устройств размещена внутри системного бло-

ка ПК (накопитель на жестких дисках - «винчестер»), часть содержит в

системном блоке лишь устройства обращения к носителю информации, а

сам носитель - сменный. Типы носителей самые разнообразные:

- накопители на гибких дисках:

- магнитных - floppy, Iomega ZIP;

- магнитооптических;

- оптических дисках - СD,DVD,PD,FMD;

- на магнитной ленте - стримеры;

- накопители на жестких сменных магнитных дисках - SyQuest, IBM

Microdrive, Iomega JAZ;

- накопители на основе Flash-памяти.

Часть ВЗУ вообще не входит постоянно в состав ПК и подключается к

нему либо по компьютерной сети, либо с применением внешних шин рас-

ширения.

Большинство ВЗУ дискового типа поддерживают блочный протокол

обращения к данным - за одно обращение к ВЗУ считывается фиксирован-

ный объем информации - сектор или кластер, этот объем имеет прямой ад-

рес, размещенный в специальной таблице на ВЗУ (FAT, NTFS). Накопите-

ли на лентах и оптических CD-дисках обрабатывают данные последова-

тельно, потоком с заданной скоростью.

1.2.2.3. Устройства ввода-вывода. Для целей САПР используются

многие их разновидности, начиная от стандартной консоли: клавиатура -

11

Page 12: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

ввод плюс дисплей - вывод, до более мощных (сканнеры и фотокамеры -

ввод; принтеры, плоттеры, графопостроители - вывод) и даже экзотических

(«сколка», сенсорный экран – ввод; проектор, координатограф, цветной

лазерный принтер - вывод).

Классификация устройств вывода:

- ударные;

- литерные (рычажные, пружинные, ременные);

- матричные (растровые);

- безударные, растровые;

- струйные (непрерывные –CIJ: капельные –DOD), твердокрасочные;

- электрофотографические (лазерные);

- электроэрозионные;

- феррографические;

- термические, электроискровые, сублимационные;

- электромеханические (графопостроители);

- оптико-механические (на гальванометрах и фотобумаге);

- строчно-регистровые;

- электронные;

- звуковые.

Классификация устройств ввода:

- кнопочные;

- оптические;

- оптико-механические;

- сеточные;

- контактные;

- индуктивные;

- емкостные;

- резистивные;

- использующие сенсорный экран;

12

Page 13: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- речевые.

1.2.2.3.1. Устройства оперативной связи (УОС). К этой подгруппе от-

носят стандартные устройства ввода–вывода, а также «мышь» и ее анало-

ги,: световое перо, джойстик, трекбол, сенсорные кнопки и панели. Их от-

личие от основной группы устройств ввода-вывода – в решаемых ими за-

дачах: для основной группы задачи 1,2 и 5 (п.1.2.1), а для УОС - оператив-

ное общение проектировщика с системой САПР.

Дисплеи. При недостаточной мощности вычислительной техники

практиковалось применение на рабочем месте дисплеев двух типов: алфа-

витно-цифрового и графического. Общение алфавитно-цифрового дисплея

с ЭВМ очень быстрое за счет экономичного кодирования знакомест и

встроенного в дисплей знакогенератора. Графические дисплеи для повы-

шения быстродействия ранее строились по экономичному векторному

принципу формирования изображения. В настоящее время наибольшее

распространение получили растровые дисплеи, как наиболее универсаль-

ные. Технология изготовления экрана дисплея для САПР особого значе-

ния не имеет (PIL, Trinitron, Flatron, LCD- панель c TFT- матрицей, плаз-

менная панель …), для представления чертежей особо важен размер экра-

на: современные требования - не менее 17 дюймов по диагонали с разре-

шением не менее 1024*768 пикселов. Наиболее распространенные интер-

фейсы - VGA,XGA с разрешением, соответствующим настольному изда-

тельству - DTP; цифровые интерфейсы MDA, Hercules, CGA, EGA уже ус-

тарели, а DVI еще не получил широкого распространения.

1.2.2.3.2. Устройства машинной графики. В качестве устройств ввода

в настоящее время в основном используются планшетные сканнеры и фо-

токамеры, а в качестве устройств вывода распространены растровые прин-

теры (электрофотографические - лазерные, струйные - пузырьковые и маг-

нитострикционные и реже матричные) и струйные плоттеры.

1.2.2.4. Устройства теледоступа и сетей:

13

Page 14: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- связные процессоры;

- каналы связи;

- сетевые контроллеры;

- мультиплексоры;

- аппаратура передачи данных.

В многомашинных ЦВК в пределах одного помещения возможно

применение стандартного интерфейса ЭВМ. Более универсально для одно-

го помещения или группы близко расположенных зданий применение ло-

кальной вычислительной сети, например, Ethernet. В некоторых случаях,

когда не требуется высокая скорость передачи данных, в САПР применя-

ются и глобальные сети. По скорости обмена сети в САПР делятся на 3

группы: малая - <1мбод, средняя - <10мбод, ,большая - >10мбод.

Деление сетей по принципу передачи данных по сетям:

- некоммутируемые;

- с переключателями каналов связи;

- с коммутацией сообщений;

- с коммутацией пакетов;

- смешанные.

Архитектура сетей:

- радиальная («звезда»);

- иерархическая;

- кольцевая;

- магистральная.

1.2.2.5. Устройства связи с технологическим оборудованием

1.2.2.6. Устройства подготовки данных

1.2.3. Принципы построения КТС САПР

1. Удобства для пользователя.

2. Специализация.

14

Page 15: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3. Пропорциональность, равномерность загрузки.

4. Параллельная работа коллектива.

5. Соответствие возможностям других видов обеспечения САПР.

6. Совместимость с серийными ТС.

7. Возможность развития.

При построении КТС САПР учитывается :

- количество уровней САПР;

- задачи каждого уровня;

- размещение ТС по территории;

- характер связи подразделений;

- объем передачи данных и т.д.

1.2.4. Специализированные ЭВМ

Повышение производительности ЭВМ и САПР достигается, как пра-

вило, при переходе от программной к аппаратной реализации методов ре-

шения задач.

Способы реализации специализации ЭВМ:

- расширение системы команд;

- дополнение ЭВМ спецпроцессорами.

Возможности для этого есть:

- на базе серийной ЭВМ – замена процессора и BIOS;

- на серийных ИМС – либо расширение архитектуры ЭВМ, либо

проектирование новой ЭВМ;

- на заказных ИМС – то же самое и, кроме того, большее быстродей-

ствие и меньше габариты.

Группы специальных ЭВМ:

- спец.ЭВМ для решения простых, но часто вызываемых задач;

- спец.ЭВМ для выполнения отдельных проектных процедур;

- графические процессоры;

15

Page 16: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- эмуляторы;

- серверы баз данных.

Специальные ЭВМ для САПР создают лишь в том случае, когда их

разработка дешевле выполнения задачи проектирования на универсальных

ЭВМ.

Контрольные вопросы

1. Задачи ТО САПР.

2. Что относится к устройствам программной обработки данных.

3. Внешние запоминающие устройства, виды и парамеиры.

4. Классификация устройств вывода.

5. Что относится к устройствам оперативной связи.

6. Классификация устройств ввода.

7. Устройства теледоступа и сетей.

8. Пути повышения производительности ЭВМ и САПР.

1.3. Программное обеспечение САПР

Программное обеспечение (ПО) – это комплекс программ, процедур и

правил, обеспечивающий наиболее полное использование технических

средств САПР.

Требования к ПО САПР:

1. Правильность выбора математических методов, лежащих в основе

ПО.

2. Сопровождаемость (пользовательская документация, гарантии).

3. Мобильность (при переносе ПО на ЭВМ другого типа).

4. Открытость (возможность корректировки ПО).

5. Экономичность (минимум затрат проектного времени и ресурсов

ЭВМ на выполнение проектной работы)

6. Удобства для пользователя.

16

Page 17: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

7. Надежность (вероятность получения правильного результата).

8.Универсальность или масштабы использования.

1.3.1. Структура ПО САПР

1.3.1.1. Общесистемное ПО (операционная система - ОС) – комплекс

управляющих и обрабатывающих программ, не отражающий специфику

проектной работы и рабочего места.

Размещение ОС в ЭВМ двоякое: часть – находится в оперативной па-

мяти резидентно, а часть – транзитивно.

Управляющие программы

1. Программы управления заданиями

Задание – единица работы, в приложении большинства ОС – дирек-

тива пользователя. Соответственно, программы управления заданиями –

это интерпретаторы директив пользователя: ввод, трансляция, загрузка,

вывод.

Как правило, в ОС есть:

- главный планировщик, отвечающий за связь с оператором и

- планировщик заданий, содержащий системные ввод и вывод, ре-

шающие задачи очередности, а также инициатор-терминатор, который за-

крепляется за отдельным заданием и последовательно решает все его зада-

чи, а именно: запрашивает требуемую оперативную память и место на

ВЗУ, ищет необходимые данные, назначает внешние устройства, передает

управление загруженной программе, по окончании ее работы удаляет ос-

татки информации о задаче из входных очередей, а результаты и диагно-

стические данные направляет в выходную очередь. Все это резидентные

программы.

2. Программы управления задачами (супервизоры)

Задача – часть задания, представленная обособленной частью про-

граммы, данных и технических средств. Эта часть ОС переключает про-

17

Page 18: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

граммы и распределяет ресурсы вычислительной системы. Это тоже рези-

дентные программы. Есть супервизоры:

- прерываний (аппаратные, программные, контрольные, ввода-

вывода…);

- памяти (оперативной);

- времени (системного, реального);

- ввода- вывода;

- оверлеев;

- корректировки выполнения задач;

- диспетчеры (распределяют приоритеты).

3. Программы управления данными

Отвечают за поиск, хранение, загрузку в оперативную память и пер-

вичную обработку (кодирование - декодирование) информации. Подробно

рассматривается в разделе «Информационное обеспечение». Часть этих

программ размещена транзитивно.

Набор данных - это данные с общим назначением, названием, органи-

зацией. Набор с уточненным форматом - файл - это поименованная сово-

купность данных. Величина, на уровне которой выполняется обращение к

файлам и наборам называется логической записью. На физическом уровне

логические записи объединяются в блоки. Данные специфицируются ти-

пом организации данных:

- последовательный;

- прямой;

- библиотечный (с оглавлением);

- индексно-последовательный (логическая запись содержит поле-ключ

со своим номером в наборе).

Обрабатывающие программы

- Трансляторы. Формируют объектные модули - законченные куски

программ в машинном коде. Размещение транзитивное.

18

Page 19: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Библиотеки. Часть этих программ размещена транзитивно.

- Обслуживающие программы:

- загрузчик, содержит редактор связей link - программу, объединяю-

щую объектные модули в исполняемую программу и плюс программу вы-

борки, подставляющую в код программы реальные адреса оперативной

памяти, в которые эта программа попала при своей загрузке, размещение

загрузчика резидентное;

- отладчик, размещение транзитивное;

- библиотекарь, размещение, как и у его библиотеки.

- Утилиты (вспомогательные). Размещение транзитивное.

- Диагностические. Размещение транзитивное.

1.3.1.2. Базовое ПО - комплекс программ, процедур и правил, отра-

жающий специфику автоматизированного рабочего места. Состоит из на-

вигаторов, brow-зеров, текстовых процессоров, систем управления базами

данных, драйверов включенных в КТС САПР технических средств и т.д.

1.3.1.3. Специальное (прикладное) ПО - комплекс программ, процедур

и правил, отражающий специфику проводимой проектной работы. Как

правило, состоит из модулей - программ с самостоятельным назначением:

управляющих (мониторов) и исполнительных.

1.3.2. Принципы построения СПО

Модульность повышает универсальность СПО за счет расширения

маршрутов проектирования. Однако, чем больше модулей, тем больше

связей и сложнее разработка СПО.

Типы взаимодействия модулей:

- по информации;

- по управлению:

- цепочка;

- иерархия;

19

Page 20: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- вложение (подпрограммы - обязателен возврат);

- по размещению кода модуля при нехватке оперативной памяти;

- по воздействию модулей друг на друга (интерпретаторы);

Типы модулей:

- функциональные (инвариантные) - библиотеки алгоритмов, …;

- оригинальные - зависящие от специфики проектируемого объекта.

При разработке СПО учитываются следующие факторы:

- при выборе языка программирования: часто используемые модули

желательно выполнять с использованием автокода;

- при выборе численных методов для разработки модулей низших

уровней предпочтительна экономичность, а для высших - удобство поль-

зователя;

- при выборе метода генерации для нижних уровней всегда применя-

ется компиляция, для верхних - возможна интерпретация.

В состав развитых машиностроительных САПР входят в качестве со-

ставляющих системы CAD, САМ и САЕ.

Среди CAD-систем (Computer Aided Drafting) различают системы

нижнего, среднего и верхнего уровней. Первые из них иногда называют

«легкими» системами, они ориентированы преимущественно на 2D-

графику, сравнительно дешевы, основной аппаратной платформой для их

использования являются ПК. Системы верхнего уровня, называемые также

«тяжелыми», дороги, более универсальны, ориентированы на геометриче-

ское твердотельное и поверхностное 3D-моделирование, оформление чер-

тежной документации в них обычно осуществляется с помощью предвари-

тельной разработки трехмерных геометрических моделей. Системы сред-

него уровня по своим возможностям занимают промежуточное положение

между «легкими» и «тяжелыми» системами. В САПР крупных предпри-

ятий обычно используют программы разных уровней. Связано это с тем,

что более 80% всех процедур конструирования можно выполнить на CAD-

20

Page 21: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

системах нижнего и среднего уровней, кроме того, «тяжелые» системы до-

роги. Поэтому предприятие приобретает лишь ограниченное число экзем-

пляров (лицензий) программы верхнего уровня, а большинство клиентских

рабочих мест обеспечивается экземплярами программ нижнего или сред-

него уровней.

К важным характеристикам CAD-систем относятся параметризация и

ассоциативность. Они играют важную роль при проектировании конструк-

ций узлов и блоков, состоящих из большого числа деталей. Действительно,

изменение размеров одних деталей оказывает влияние на размеры и распо-

ложение других. Благодаря параметризации и ассоциативности изменения,

сделанные конструктором в одной части сборки, автоматически перено-

сятся в другие части, вызывая изменения соответствующих геометриче-

ских параметров в этих частях.

Основные функции САМ-систем (Computer Aided Manufacturing):

разработка технологических процессов, синтез управляющих программ

для технологического оборудования с ЧПУ, моделирование процессов

обработки, в том числе построение траекторий относительного движения

инструмента и заготовки в процессе обработки, генерация программ для

конкретных типов оборудования с ЧПУ, расчет норм времени обработки.

Функции САЕ-систем (Computer Aided Engineering) довольно разно-

образны, так как связаны с проектными процедурами анализа, моделиро-

вания, оптимизации проектных решений. В состав машиностроительных

САЕ-систем прежде всего включают программы для выполнения следую-

щих процедур:

- моделирование полей физических величин, которое чаще всего вы-

полняется в соответствии с методом конечных элементов (МКЭ);

- расчет состояний моделируемых объектов и переходных процессов в

них средствами макроуровня;

21

Page 22: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- имитационное моделирование сложных производственных систем на

основе моделей массового обслуживания и сетей Петри.

Программно-методические комплексы одного уровня по своим функ-

циональным возможностям приблизительно равноценны. Поэтому в лю-

бых машиностроительных САПР набор модулей приблизительно одина-

ков:

- Базовые модули конструкторского проектирования предназначены

для твердотельного и поверхностного моделирования, синтеза конструк-

ций из базовых элементов формы.

- Дополнительные модули конструкторского проектирования имеют

более конкретную, но узкую специализацию.

- Модули функционального моделирования.

- Основные модули технологического проектирования служат для мо-

делирования технологических процессов.

- Модули обмена данными (конверторы форматов данных).

1.3.3. Классификация операционных систем

1. По назначению:

- общие;

- специальные.

2. По режиму обработки заданий:

- однопрограммные;

- многопрограммные.

3. По взаимодействию с проектировщиком:

- пакетные;

- диалоговые.

4. По стратегии и виду операционной системы:

- ОС с последовательным управлением заданиями (ДС, ПЛОС, DOS);

- ОС с буферизацией ввода-вывода;

22

Page 23: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Пакетная ОС (ОС ЕС ЭВМ).

- ОС разделения времени (ФОДОС, РВР). Их характеристики:

- кольцевая очередь задач;

- постоянная частота входа в приоритет.

- ОС реального времени (РАФОС, ФОБОС, РВ). Их характеристики:

- известный круг задач;

- предварительное инициирование задач;

- предварительное распределение технических средств;

- устанавливаемые интервалы обслуживания.

- Сетевые ОС. (Nowell, Windows …,UNIX и их модификации).

Контрольные вопросы

1. Требования к ПО САПР.

2. Структура общесистемного ПО.

3. Структура базового ПО.

4. Принципы построения специального ПО.

5. Классификация операционных систем.

1.4. Лингвистическое обеспечение

Это набор используемых в САПР языков диалога проектировщика и

ЭВМ.

Требования к языкам:

1. Безошибочность генерируемого кода (очень часто не соблюдается).

2. Читаемость, наглядность, автосохранение.

3. Универсальность - адаптируемость к ЭВМ.

4. Эффективность генерируемого кода (быстродействие, требователь-

ность к ресурсам - памяти).

5. Доступность в обучении.

23

Page 24: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.4.1. Состав лингвистического обеспечения

1.4.1.1. Языки программирования:

- машинно-ориентированные;

- алгоритмические.

Языки программирования реализуются в языковых процессорах сле-

дующих типов:

- транслятор - готовит полный программный код (имеет функцию

редактора связей);

- интерпретатор – программный код готовится по частям (при работе

программы часть оперативной памяти занята языковым процессором).

Процессоры всех типы выполняют работу в три этапа:

- лексический анализ (разделение текста программы на лексемы),

- синтаксический анализ (грамматика языка),

- генерация кода.

1.4.1.2. Языки проектирования:

- входные – задают информацию об объекте проектирования, состоят

из:

- языков описания объекта и

- языков описания заданий;

- выходные – содержат команды документирования;

- сопровождения – языки коррекции и редактирования данных;

- промежуточные – предназначены для диалога внутри ПМК;

- внутренние – соглашения об интерфейсах данных (между программ-

ными модулями).

1.4.1.3. Языки представления знаний:

- ролевые (ФРЛ);

- реляционные (ЛИСП, СУБД реляционных баз данных, SQL);

- логические (ПРОЛОГ).

24

Page 25: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

В основе языков представления знаний лежит логика действия и сис-

тема ограничительных условий, а не последовательность выполнения лек-

сем.

Элементы логического языка:

- факт – утверждение по отношению объекта к понятию;

- правило – набор фактов и правил, объединенный общим заголовком.

1.4.1.4. Языки управления станков и линий с ЧПУ

Контрольные вопросы

1. Состав лингвистического обеспечения.

2. Языковые процессоры: типы, особенности, различия.

3. Фазы трансляции.

4. Виды языков проектирования.

1.5. Информационное обеспечение

Это совокупность информационного фонда и средств управления им.

Структура информационного обеспечения может использовать структуру

программного, но в большинстве случаев САПР использует проектно-

ориентированную базу данных с системой управления базой данных

(СУБД). Банк данных – система программных, лингвистических и техни-

ческих средств для центрального накопления и коллективного использова-

ния. База данных – именованная совокупность связанных и вместе хра-

нящихся данных. СУБД – совокупность программных средств для совме-

стного ведения базы данных.

Требования к информационному обеспечению:

1. Полнота информации.

2. Неизбыточность (в противном случае есть трудности корректиров-

ки данных).

3. Достоверность - защита и своевременная корректировка.

25

Page 26: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

4. Открытость - независимость изменения ПО и ИО. Выделяют два

уровня независимости: логический и физический.

5. Скорость доступа к данным.

6. Минимальные затраты памяти (ОП и ВЗУ) – достигается при свое-

временном удалении устаревшей информации.

7. Защита от несанкционированного использования.

1.5.1. Уровни представления данных

1. Предметный аспект:

- вся область;

- подмножество данных;

- объект;

- атрибут.

2. Логический (абстрактный) аспект:

- библиотека, том;

- файл, набор;

- логическая запись;

- поле.

3. Физический аспект:

- база данных;

- список, блок, страница, сегмент, кластер;

- ячейка;

- элемент.

Возможные варианты доступа к данным:

- последовательный;

- индексно-последовательный (ключ - элемент корневого набора);

- индексно-прямой (ключи отсортированы);

- прямой (ключи отсортированы).

26

Page 27: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.5.2 Классификация баз данных

1. По степени универсальности:

- специализированные;

- проектно-зависимые.

2. По масштабу использования:

- интегрированные (архив);

- локальные (для подсистем);

- данные отдельных модулей.

3. По месту хранения:

- централизованные (архив);

- распределенные.

4. По степени связности:

- документальные (текст);

- фактографические (более сложные объекты).

5. По модели данных (логический аспект):

- реляционные. Данные объединены во взаимосвязанные таблицы. В

таблице логические записи, называемые кортежами, имеют одинаковую

структуру – набор атрибутов. Среди атрибутов выделен атрибут-ключ, по

которому идентифицируются кортежи, и осуществляется связь данных в

разных таблицах.

- иерархические. Данные объединены в набор (иерархически более

высокий уровень), наборы – в свои наборы более высоких уровней и так до

корневого набора.

- сетевые. Являются более сложным вариантом иерархических, допус-

кают вхождение данных не в один, а в несколько наборов. То есть, в ие-

рархическом подходе структура связи данных древовидная, а в сетевой –

графовая с возможностью «циклов».

Совокупность модели данных и операций над ними называется под-

ходом. Основные операции для любого подхода:

27

Page 28: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- включение данных в базу данных;

- исключение данных;

- модификация данных;

- запрос.

В реляционной модели в качестве языка запросов применяется реля-

ционная алгебра. Ее базовые элементы (операторы):

- селекция - ограничение по условию;

- проекция на список атрибутов-столбцов;

- объединение – добавление строк к таблице;

- пересечение – выборка совпадающих строк;

- разность – выборка недостающих в вычитаемом строк;

- деление – выборка недостающих в делимом столбцов;

- декартово произведение (из-за больших объемов результата чаще

всего используется одновременно с ограничением).

Контрольные вопросы

1. Объяснить значение терминов: база данных, банк данных, СУБД, модель

данных.

2. Уровни представления данных.

3. Структура реляционной базы данных.

4. Структура иерархической базы данных.

5. Структура сетевой базы данных.

6. Базовые операторы реляционной алгебры.

1.6. Математическое обеспечение

Комплекс программ, процедур и правил, определяющий внутреннее

содержание процедур и взаимодействие проектировщика с САПР [6],[5].

Компоненты МО определяются базовым математическим аппаратом,

специфичным для каждого из иерархических уровней проектирования.

28

Page 29: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- На микроуровне типичные математические модели представлены

дифференциальными уравнениями в частных производных вместе с крае-

выми условиями. К этим моделям, называемым распределенными, отно-

сятся многие уравнения математической физики. Объектами исследования

здесь являются поля физических величин, что требуется при анализе проч-

ности строительных сооружений или машиностроительных деталей, ис-

следовании процессов в жидких средах, моделировании концентраций и

потоков частиц в электронных приборах и т. п.

Число совместно исследуемых различных сред (число деталей, слоев

материала, фаз агрегатного состояния) в практически используемых моде-

лях микроуровня не может быть большим ввиду сложностей вычислитель-

ного характера. Резко снизить вычислительные затраты в многокомпо-

нентных средах можно, только применив иной подход к моделированию,

основанный на принятии определенных допущений.

- Допущение, выражаемое дискретизацией пространства, позволяет

перейти к моделям макроуровня. Моделями макроуровня, называемыми

также сосредоточенными, являются системы алгебраических и обыкновен-

ных дифференциальных уравнений, поскольку независимой переменной

здесь остается только время t. Упрощение описания отдельных компонен-

тов (деталей) позволяет исследовать модели процессов в устройствах, при-

борах, механических узлах, число компонентов в которых может доходить

до нескольких тысяч.

- В тех случаях, когда число компонентов в исследуемой системе пре-

вышает некоторый порог, сложность модели системы на макроуровне

вновь становится чрезмерной. Поэтому, принимая соответствующие до-

пущения, переходят на функционально-логический уровень. На этом уров-

не используют аппарат передаточных функций для исследования аналого-

вых (непрерывных) процессов или аппарат математической логики и ко-

29

Page 30: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

нечных автоматов, если объектом исследования является дискретный про-

цесс, т. е. процесс с дискретным множеством состояний.

Наконец, для исследования еще более сложных объектов, примерами

которых могут служить производственные предприятия и их объединения,

вычислительные системы и сети, социальные системы и другие подобные

объекты, применяют аппарат теории массового обслуживания, возможно

использование и некоторых других подходов, например сетей Петри. Эти

модели относятся к системному уровню моделирования - метауровню.

1.6.1. Математические модели

1.6.1.1. Требования к математическим моделям:

- точность – совпадение параметров модели с истинными;

- экономичность – либо количество операций на однократную реали-

зацию (расчет системы уравнений) либо число внутренних параметров мо-

дели;

- универсальность.

1.6.1.2. Классификация моделей:

Модели объектов проектирования состоят из моделей элементов, объ-

единенных в модели систем, и подразделяются следующим образом.

1.6.1.2.1. По характеру отображения свойств объекта:

- функциональные (системы уравнений) – отображают процессы

функционирования объекта;

- структурные (матрицы, графы, списки).

1.6.1.2.2. По способу представления самой модели:

- аналитические;

- графические;

- табличные.

1.6.1.2.3. По форме использованных выражений:

30

Page 31: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- аналитические – правая часть уравнения вся известна, надо лишь

подставить значения;

- алгоритмические – надо решать систему.

1.6.1.2.4. По масштабу охвата параметров объекта:

- полные;

- макромодели (без внутренних параметров).

1.6.1.2.5. По характеру отображения процессов:

- статические;

- динамические.

1.6.1.2.6. По использованию методов численной математики:

- непрерывные (время – непрерывная функция) Y=f(X,Q),

- дискретные: объект – это совокупность логических элементов, время

– это последовательность моментов, модель элемента – это конечный ав-

томат, описываемый системой логических уравнений Yt+∆t=f(Qt,Xt), мо-

дель системы – это сеть массового обслуживания или сеть Петри.

1.6.1.2.7. По уровням проектирования:

- микро. Внутренние параметры модели – физические характеристики

материала, а внешние – характеристики фрагмента. Модель непрерывна и

в пространстве и во времени и выражается в виде системы дифуравнений в

частных производных;

- макро. Внутренние параметры модели – характеристики фрагмента,

а внешние – характеристики структуры объекта (токи, напряжения, скоро-

сти, силы…). Модель представляется дискретной средой и непрерывным

временем и выражается в виде системы однородных дифуравнений. Моде-

ли элементов получаются методом прямой аналогии:

- система разбивается на однородные по физической природе фраг

менты;

-

- используется две фазовые переменные (ток-напряжение, поток-

потенциал…);

31

Page 32: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- свойства элемента определяются зависимостью фазовых перемен-

ных друг от друга (компонентные уравнения).

Таким образом, участки реальной структуры отождествляются с со-

средоточенными параметрами - узлами и ветвями модели системы (струк-

туры) - графа. Математическая запись модели структуры – это система то-

пологических уравнений.

На макро-уровне существует аналогия систем различной физической

природы.

Электрическая Механическая Вращение Гидравлика Термодинам.

Сопротивление Трение Трение Трение Теплопров.

Емкость Податливость Упругость на

скруч.

Упругость -

Индуктивность Масса Момент инерц. Масса Теплоемкость

- мета. Внутренние параметры модели – выходные характеристики

включенных в систему устройств, а внешние – статистические характери-

стики (вероятность безотказной работы, быстродействие, чувствитель-

ность…). Модель представляется дискретной средой и, чаще всего, дис-

кретным временем. Используются следующие подходы к решения систем

этого уровня:

- Факторная макромодель. Все как и при решении модели макро-

уровня, но не учитываются все внутренние параметры элементов и исполь-

зуются методы планирования эксперимента –для устранения части внеш-

них.

- Укрупненное описание элементов (функциональное моделирование).

Возможно при:

- линейных моделях элементов;

- использовании только одной фазовой переменной – сигнала;

- однонаправленной передаче сигнала – без обратных связей;

- отсутствии нагрузки.

32

Page 33: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Логическое моделирование (СМО, сеть Петри).

1.6.1.3. Методы получения математических моделей

1. Обобщенный. Модель объекта содержит три системы уравнений:

- компонентные уравнения всех включенных в систему элементов,

- топологические уравнения (чаще используется метод сечений),

- уравнения численного интегрирования.

Преимущества данного метода в возможности автоматического со-

ставления модели, недостатки - в большой разрядности сформированной

системы уравнений.

2. Методы сокращенно базиса:

- Узловой (реже - контурный). При дискретизации системы матрица

Якоби формируется как матрица узловых проводимостей. (AпGAgt)φ = -J.

- Метод переменных состояния. При дискретизации емкостей и ин-

дуктивностей приводит к системе однородных дифуравнений в форме Ко-

ши. IС(t+1)=gcUc+jc , UL(t+1)=RLUL+UL.

Преимущества методов сокращенно базиса - более экономичная мо-

дель, а недостатки – не все ситуации моделируются, например, при методе

переменных состояния недопустимы узлы одних индуктивностей и конту-

ры из одних емкостей.

1.6.1.4. Особенности моделей в САПР.

1. Высокая размерность (большое число уравнений).

2. Высокая разреженность (много нулевых элементов).

3. Жесткость систем уравнений - они имеют различающиеся на не-

сколько порядков собственные значения - λ характеристического уравне-

ния:

det(Я-λ1)=0, что возникает из-за:

- учета несущественных величин;

- учета разноскоростных процессов.

4. Необходимость управления точностью.

33

Page 34: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.6.2.Численные методы анализа

В общем случае, из описания на микро-, макро- или мета- уровне

формируется модель типа системы однородных дифуравнений, позволяю-

щая найти решение в динамике, однако, часто требуется определить реше-

ние в установившемся режиме – статика.

1.6.2.1. Подходы к решению в статике:

- В особом случае, когда известна СЛАУ : ЯX=Q, вектор Х определя-

ется прямыми методами: Гаусса, LU-разложения, и т.д.

- Решение переходного процесса по СОДУ до установившегося режи-

ма – неэкономично.

- Применение итераций. Vo Vk.

- Представить задачу как экстремальную, например, найти минималь-

ное среднеквадратичное отклонение, и использовать методы поисковой

оптимизации.

В последних трех случаях используются формулы итерации – после-

довательного приближения к результату от некоего начального значения

вектора переменных состояния.

- Метод простой итерации: Vk+1=Vk+h*f(Vk).

Шаг итераций h должен быть малым для выполнения условия сходи-

мости |1+hλ|<1, поэтому метод требует большого количества шагов, то

есть обладает малой скоростью сходимости. Скорость сходимости – ли-

нейная.

- Методы релаксации: Vk+1=(Vk+h(-D-1)f(Vk))ω+(1-ω)Vk,

- если релаксационный параметр ω=1, то формула соответствует ме-

тоду Зайделя;

- если релаксационный параметр ω<1, то формула соответствует ме-

тоду последовательной нижней релаксации;

- если релаксационный параметр ω>1, то формула соответствует ме-

тоду последовательной верхней релаксации. Эти методы имеют большую,

34

Page 35: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

чем у метода простой итерации скорость сходимости, но она тоже линей-

ная.

- Метод Ньютона: Vk+1=(Vk+h(-Я-1)f(Vk) - обладает квадратичной ско-

ростью сходимости, но в некоторых случаях (наличие у функции несколь-

ких экстремумов) не приводит к результату, и его приходится комбиниро-

вать с методом простой итерации.

- Метод продолжения решения по параметру заключается в том, что

решение состоит из последовательности решений вспомогательных систем

уравнении, отличающихся меньшим значением вектора внешних парамет-

ров (0..Q..1).

1.6.2.2. Подходы к решению в динамике

Основой анализа переходных процессов является дискретизация вре-

мени и для последовательности значений фиксированного времени выпол-

няется пошаговое определение совокупности значений вектора перемен-

ных состояния. На каждом шаге производится алгебраизация дифуравне-

ний – сведение их к разностным уравнениям, аппроксимирующим Vk в

точке tk и соседних с ней ti. Если i≤k, то метод численного интегрирования

называется явным, а если i>k, то неявным. Методы Эйлера первого поряд-

ка:

- явные Ýk=(Vk+1-Vk) / hk, более высокий порядок – Рунге-Кутта;

- неявные Ýk=(Vk-Vk-1) / hk, более высокий порядок – Гира.

Неявные методы приводят к созданию САУ, которую надо решать на

каждом шаге интегрирования, например, методом Ньютона. Эти методы

абсолютно устойчивы при любой величине шага интегрирования h. К та-

ким моделям приводят методы обобщенный и узловой.

Использование явных методов наиболее оправдано, если СОДУ запи

сана в форме Коши: Ýk=f(Vk,tk),

-

тогда Vk+1=Vk+h*f(Vk,tk),

35

Page 36: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

то есть форма модели получается алгебраическая, а не алгоритмиче-

ская. Недостатком метода является ограничение максимальной величины

шага интегрирования уровнем h<2τ, где: τ =-1/λ. При большей величине

шага возможен прогрессивный рост погрешностей. К таким моделям при-

водит метод переменных состояния.

1.6.2.3. Повышение эффективности алгоритмов одновариантного ана-

лиза для явных методов возможно за счет повышения устойчивости, и как

следствие за счет увеличения шага и уменьшения числа шагов. Как прави-

ло, применяются методики управления величиной шага (если погрешность

растет – шаг уменьшают и наоборот).

При неявных методах шагов немного и наибольшие затраты времени

связаны с реализацией самого шага (метод Ньютона предполагает опера-

цию обращения матриц), поэтому наибольший эффект дают методы учета

разреженности матриц путем применения списочной формы их представ-

ления:

- полный список – три строки: значения ненулевых элементов, адреса

строк ненулевых элементов, адреса столбцов ненулевых элементов;

- укороченный список – три строки: значения ненулевых элементов,

адреса столбцов ненулевых элементов, номера столбцов ненулевых эле-

ментов, первых в своих строках.

Повышение эффективности достигается также диакоптическими ме-

тодами (фрагментации):

- метод подсхем – в выделенных фрагментах выделяют внутренние и

граничные элементы и связь между внутренними и граничными узлами:

Явн11 0 Ясв1j

Я= 0 Явнij Ясв2j

Ясвi1 Ясвi2 Ягр

В упорядоченной таким образом матрице можно исключать целые

подматрицы нижней строки: Яij=Яij-Яkk-1Яkj. После того, как матрица станет

36

Page 37: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

треугольной – она решается последовательным расчетом всех подматриц

на главной диагонали;

- метод раздельного интегрирования. Фрагменты выбирают так, чтобы

влияние фазовых переменных одного фрагмента на другой было мало

(разноскоростные фрагменты).

1.6.3. Математическое обеспечение синтеза

В ранних САПР математическое обеспечение синтеза сводилось к па-

раметрическому синтезу, структуры генерировал человек. Автоматизация

структурного синтеза опирается на методы искусственного интеллекта –

науке о знаниях, способах их получения, переработки и использования.

Типы систем искусственного интеллекта:

- информационно-поисковая (банк данных);

- интеллектуальный пакет прикладных программ (представлены от-

ношения параметров и переменных);

- интеллектуальный программно-методический комплекс (формирует

модель на основе заложенных знаний - MicroCAP);

- экспертная система (база знаний).

1.6.3.1. Уровни сложности процедур синтеза.

1. Выбор числовых значений параметров в известной структуре – па-

раметрический синтез.

2. Выбор варианта структуры из конечного множества таких вариан-

тов с полным его перебором (компоновка).

3. Выбор варианта структуры из конечного множества таких вариан-

тов с неполным его перебором (трассировка).

4. Выбор варианта структуры из множества неизвестной мощности

(генерация принципиальных схем, формообразование)

5. Решение на основе неизвестного принципа (решение проблематич-

но).

37

Page 38: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.6.3.2. Особенности моделирования при синтезе.

Формализация сведений об объекте синтеза основана на следующих

понятиях.

- базовый элемент – примитив, который невозможно или нерацио-

нально разделять. Сведения о нем в списке его атрибутов;

- макроэлемент – типовая совокупность базовых элементов. Состоит

из атрибутов и структуры их соединения;

- законченная структура – совокупность базовых элементов и мак-

роэлементов, представляющая возможный вариант структуры проекти-

руемого объекта;

- обобщенная структура – все базовые элементы и макроэлементы,

что хоть раз встречались хоть в одной законченной структуре.

Большинство сведений об объектах синтеза (атрибутов) носит декла-

ративный характер и основано на понятиях:

- фрейм – совокупность пар: атрибут + значение атрибута. Кроме

обычных атрибутов есть слоты – ссылки на другие фреймы. Фреймы ис-

пользуются в операциях наполнения данными, поиска, создания, удаления.

Фреймы бывают двух типов: символические (атрибут + переменная) и

конкретные (атрибут + значение атрибута);

- семантическая сеть – совокупность понятий и их отношений. Пред-

ставляется графом (например: вершины – понятия, ребра – их отношения).

Типы графов в синтезе:

- И-ИЛИ – вершины такого графа делятся на ярусы и бывают двух ти-

пов: «И» - составные части системы, ИЛИ – способы реализации подсис-

тем;

- двудольный – вершины двух типов: «понятия» и «отношения».

Семантические сети используются в тех же операциях, что и фреймы,

операция поиска соответствует вложению подграфа в граф. Как и фреймы,

38

Page 39: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

сети бывают символическими (база знаний), так и конкретными (база дан-

ных).

1.6.3.3. Подходы к алгоритмизации процедуры синтеза.

1. Перебор вариантов:

- из архива типовых структур;

- перебор сгенерированных из архива типовых элементов вариантов.

Хотя множество типовых элементов конечно, вариантов структур может

быть слишком много для выделенного времени проектирования, поэтому

выбор варианта часто определяется пользователем, а оценка его для сни-

жения затрат времени ведется по косвенным, легко рассчитываемым оцен-

кам.

2. Последовательный синтез (наращивание). Сравнительно экономич-

ный подход, но имеет низкую надежность. Как правило, предусматривает-

ся возможность оценки промежуточных вариантов.

3. Выделение варианта из обобщенной структуры, объединяющей без

дублирования все сведения в требуемой области. Поиск ведется на основе

выделения сценариев - подграфов, содержащих хоть один путь от вершины

«А» к вершине «Б». Элемент общей структуры сопоставляется с ТЗ и

включается или нет в сценарий.

4. Трансформация описаний. От известной структуры одного уровня к

новой структуры другого уровня. Правило синтеза имеет вид условного

оператора языка программирования.

5. Сведение синтеза к задаче дискретного программирования, то есть

требования отыскать некий экстремум при неких ограничениях. Для этого

необходимо поставить в соответствие всем признакам некоторые величи-

ны, которые могут быть выражены количественно, и установить количест-

венный же критерий. Этот подход наиболее часто используется при пара-

метрической оптимизации и сводится к совмещению центров области ра-

ботоспособности и области допусков.

39

Page 40: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Контрольные вопросы

1. Классификация математических моделей.

2. Методы получения математических моделей.

3. Особенности моделей, применяемых в САПР.

4. Дать обзор подходов к анализу в статике.

5. Пути повышения эффективности алгоритмов одновариантного анализа.

6. Уровни сложности процедур синтеза.

7. Объяснить значение терминов: базовый элемент, макроэлемент, закон-

ченная структура, обобщенная структура, фрейм, семантическая сеть.

8. Дать обзор подходов к алгоритмизации процедуры синтеза.

40

Page 41: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.7. Автоматизация на функциональном аспекте проектирования

На этом аспекте рассматриваются физические и информационные

процессы в проектируемом устройстве. Технические задания наиболее

расплывчатые, а математический аппарат слабо унифицирован. Для про-

стых устройств на этом аспекте проектирование, как правило, ведется

вручную, а для сложных – приходится создавать узкоспециализированные

САПР.

Задачи функционального проектирования:

- разработка алгоритмов, реализующих функции разрабатываемой ап-

паратуры;

- синтез и анализ схем и тестов.

1.7.1. Уровни проектирования

1. Наибольшие трудности возникают на системном уровне, когда объ-

ект проектирования представляется как совокупность подсистем. Уровень

используется для имитации процессов в устройстве. Проектные работы на

этом уровне часто преследуют цель определения принципиальной возмож-

ности разработки интересующего устройства. Результат проектирования –

функциональная схема.

Формализации поддается синтез лишь на более низких подуровнях,

где результат проектирования – принципиальная схема.

2. Логический уровень. Рассматривается полная модель до базовых

элементов. Уровень предназначен для проверки схем.

3. Иногда используется уровень регистровых передач, занимающий

промежуточное положение между системным и логическим. Он предна-

значен для проверки временных диаграмм.

41

Page 42: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.7.2. Синтез на функциональном аспекте проектирования

Основной подход к синтезу на двух верхних уровнях – перебор вари-

антов, часто с применением экспертных систем.

Синтез на логическом уровне при ограниченной сложности возможен

с использованием трансформации описаний.

1.7.2.1. Типовой маршрут проектирования комбинационной логиче-

ской схемы.

1. Синтез алгоритма функционирования, представленного в виде под-

программ. Этот этап проводится практически вручную с использованием

средств для разработки программ и выполняется в той же последователь-

ности, что и разработка любых программ вообще:

- пишется программа на входном языке;

- программа транслируется;

- программа отлаживается;

- документируются результаты.

2. Составление функции переходов и выходов в табличной форме.

- Таблица переходов - прямоугольная матрица с элементами aij , рав-

ными состоянию, в которое система переходит из состояния ai при вход-

ном воздействии хj; столбцы - входные воздействия, строки - состояния.

- Таблица выходов - прямоугольная матрица с элементами yi , равны-

ми элементам вектора выходных параметров системы, которыми характе

ризуется система при ее состояниях ai.

-

Процесс составления таблиц состоит их двух проходов программы. На

первом проходе обозначаются условия переходов, на втором - заполняются

строки таблиц.

3. Поиск эквивалентных состояний и исключение их. Эквивалентные

состояния - это такие, после которых система функционирует одинаково

при любых входных воздействиях.

42

Page 43: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

4. Кодирование внутренних состояний. Поочередно просматривают

столбцы таблицы переходов. Число устойчивых состояний для столбца- γ

определяет число бит кода для этого столбца: ≥log2γ .

5. Формулировка функций выходов, то есть кодирование таблиц вы-

ходов. После стыковки кодированных таблиц переходов и выходов имеем

таблицу истинности комбинационной схемы устройства.

6. По таблице истинности с использованием формул алгебры логики,

карт Карно или метода Квайна-Мак-Класки строится принципиальная схе-

ма.

1.7.3. Автоматизация анализа на системном уровне проектирования

На этом уровне функционирование систем абстрагируется от физиче-

ской сущности процессов. Имитируются сами процессы.

1.7.3.1. Модели для системного проектирования

При проектировании на системном уровне объект проектирования

представляет собой совокупность статических элементов - обслуживаю-

щих аппаратов и динамических – заявок.

1. Аналитические модели. Y=f(X,Q), где Х – параметры обслуживаю-

щих аппаратов, Q - параметры заявок. Такие модели применяются при ог-

раничениях:

- входные потоки заявок:

а) стационарны – вероятность поступления заявки не зависит от вре-

мени;

б) ординарны – в один момент времени поступает одна заявка,

- нет последействия – моменты поступления заявок не зависят от по-

ступления других заявок,

- времена обслуживания распределены по нормальному закону,

- дисциплина обслуживания без приоритетов - FIFO.

43

Page 44: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2. Имитационные модели. Используются следующие модели элемен-

тов:

- источник входного потока заявок – алгоритм вычисления моментов

появления заявок. Бывают независимые (интервал появления заявки случа-

ен) и зависимые (интервал зависит от синхронизирующей заявки на каком

либо входе);

- устройство – алгоритм расчета интервала обслуживания, а также

дисциплина обслуживания;

- память – алгоритм определения объема памяти (ресурсов), необхо-

димого для обслуживания заявки, Часто зависит от типа заявки и имеет

дисциплину обслуживания;

- узел – элемент для отображения маршрутов продвижения заявок.

1.7.3.2. Система имитационного моделирования – это алгоритм упо-

рядоченных обращений к моделям элементов. В процессе моделирования

изменяется дискретное время и накапливается статистическая информация

об объекте проектирования. Имитация заканчивается по завершении за-

данного отрезка времени или обработки заданного числа заявок.

В процессе моделирования вычисления производятся только для тех

узлов сети, где произошли какие-либо изменения (экономичный подход).

Анализ соответствия модели объекту проектирования производится

на основе статистических исследований и основной вид модели – система

массового обслуживания (СМО).

Структура системы массового обслуживания - сеть соединенных меж-

ду собой статических объектов – обслуживающих аппаратов (ОА), по ко-

торой перемещаются динамические объекты – заявки. Обслуживающие

аппараты характеризуются собственным состоянием (свободен – занят) и

состоянием очереди на входе (длина, при наличии нескольких приоритетов

– длины одноприоритетных очередей). Заявки описываются статусом (об-

служивается, ждет в очереди).

44

Page 45: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Функционирование СМО, заключающееся в перемещении заявок по

сети, описывается последовательностью событий – изменений состояния

хотя бы одного статического или динамического объекта, совершающихся

мгновенно.

1.7.3.3. Сети Петри

Назначение сетей Петри – имитационное моделирование. Вид – дву-

дольный граф, вершины первого типа называются позициями (обознача-

ются кружком), вершины второго – переходами (обозначаются вертикаль-

ной линией). В позициях размещаются маркеры – заявки, чем имитируют-

ся очереди на входе ОА. Позиции предназначаются для моделирования

времени обслуживания в самом ОА. От позиции к переходу направлены

входные дуги. От перехода к позиции направлены выходные дуги. Моде-

лирование процессов заключается в перемещении маркеров по позициям.

Алгоритм перемещения маркеров: за одно событие из всех входных пози-

ций одного перехода изымается по одному маркеру (если хоть в одной по-

зиции маркера нет, то событие не совершается), а во все выходные пози-

ции добавляется по одному маркеру.

Свойства сетей Петри:

- ограниченность – конечное число маркеров (заявок);

- безопасность – количество маркеров не менее 1;

- сохраняемость – невозможность возникновения или уничтожения

ресурсов (переходов, позиций, ветвей);

- живость – из любого состояния, достижимого их начального, воз-

можен переход в любое другое состояние, достижимое их начального.

Виды сетей Петри:

- автоматные – все переходы с одним входом и одним выходом;

- временные – вводятся задержки либо на переход либо на позицию,

задержка фиксированная или случайная;

45

Page 46: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- стохастические – задержки случайные, добавляются вероятности

срабатывания возбужденного перехода;

- приоритетные – срабатывает тот переход, в который идет входная

дуга с большим приоритетом;

- ингибиторные – содержат запрещающие входные дуги;

- цветные – различные заявки – различные маркеры;

- функциональные – в описание переходов добавлены алгоритмы об-

работки заявок.

1.7.3.4. Алгоритм имитационного моделирования (пример)

Используются массивы:

- список текущих событий СТС;

- список будущих событий СБС;

- массив заявок МЗ;

- массив параметров ОА;

- массив параметров очередей.

1. Просмотр операторов генераторов заявок – рассчитываются момен-

ты появления заявок и заносятся в СБС. СБС упорядочивается по возрас-

танию.

2. Выбираются события с минимальным временем и переносятся в

СТС.

3. Моделирование СТС:

- Выбирается ссылка на одно событие из СТС (по любому алгоритму).

- Из МЗ выбирается информация о продвигаемой заявке.

- Моделируется продвижение заявки по очереди до ОА и по самому

ОА. Рассчитывается время выхода заявки из ОА – появляется новое собы-

тие.

- Ссылка на новое событие заносится в СБС. СБС упорядочивается.

- Корректируется положение заявки. Если заявка приходит на занятый

ОА, то она попадает в очередь.

46

Page 47: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

4. После моделирования всего СТС в него переносятся из СБС ссылки

на события для следующего модельного времени.

В процессе моделирования накапливается информация о длинах оче-

редей и о длительностях занятого состояния всех ОА.

1.7.4. Автоматизация анализа на логическом уровне проектирования

На этом уровне модель обычно представляется системой логических

уравнений – СЛУ [3]. Используются два вида этой модели:

1. Асинхронная – отображает процессы в схеме, поэтому СЛУ реша-

ется как СДУ. Элементы схем моделируют задержки распространения сиг-

налов:

Y(t+τ)=Ψ (xt),

где τ - задержка, если длительность импульса меньше величины за-

держки, то схема не срабатывает. Задержки задаются как случайные вели-

чины с заданным законом распределения. Шаг интегрирования СДУ для

обеспечения заданной точности приходится выбирать малым, и затраты на

асинхронное моделирование велики, поэтому его применяют для оконча-

тельного снятия неопределенности в работе проектируемой схемы. Более

экономичные модели используются чаще.

2. Синхронная – отображает отдельные, установившиеся состояния

схемы, поэтому СЛУ решается как САУ, например, методом простой ите-

рации при трех значениях вектора входных параметров всех элементов:

исходных, промежуточных и окончательных.

1.7.4.1. Выделяют три основных вида моделирования на логическом

уровне:

- двоичное - выполняется по законам и правилам алгебры логики с

двумя возможными состояниями выходов элементов: лог.0 и лог.1 и пред-

назначено лишь для проверки логики установившихся состояний схемы;

47

Page 48: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- троичное (трехзначное). Кроме «лог.0» и «лог.1» в наборе состояний

элемента есть неопределенность – «*», возникающая из-за задержек при

работе элементов. Троичное моделирование диагностирует возможные со-

стязания сигналов – разновременный приход сигналов из одного узла по

разным путям к сходящемуся узлу и позволяет определить статический

риск сбоя (установка в не предусмотренное логикой работы состояние

элементов памяти). Риск сбоя есть в том случае, когда при исходных, про-

межуточных и окончательных значениях вектора входных параметров со-

стояние какого-либо узла схемы описывается вектором (1,*,1) или (0,*,0);

- более точная картина состояний и диагностика динамического риска

сбоя получается при использовании более подробных алфавитов: пяти- ,

семи- и девятизначного, использующих кроме значений «0,1,*» состояния

переходов, например, для пятизначного алфавита: D – это переход из 1 в 0

и Е – это переход из 0 в 1. В случаях такого моделирования для определе-

ния риска сбоя отслеживаются при исходных, промежуточных и оконча-

тельных значениях вектора входных параметров векторы, отличающиеся

от правильных последовательностей: (0,Е,1) и (1,D,0).

1.7.5. Тесты

Основной способ проверки схем или их техническое диагностирова-

ние заключается в подаче тестовых воздействий. Цели тестирования:

- установление факта неисправности;

- установление места неисправности.

Техническое диагностирование (элементарная проверка) выполняется

за три этапа:

- подача на вход тестового воздействия;

- фиксация результата на выходе;

- обработка результата.

Совокупность элементарных проверок называется тестом.

48

Page 49: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Параметры тестов определяются выявляемыми с помощью тестов не-

исправностями.

1.7.5.1. Классификация неисправностей:

- по стабильности:

- устойчивые;

- неустойчивые;

- по количеству:

- кратные;

- одиночные;

- по проявлению:

- константные 0,1,другие;

- по влиянию на устройство:

- правильные (не меняют тип устройства);

- неправильные.

1.7.5.2. Параметры (характеристики) тестов:

- глубина диагностирования – количество выявляемых тестом неис-

правностей;

- полнота – отношение количества выявляемых тестом неисправно-

стей к их полному количеству;

- длина – число элементарных проверок в тесте. Неизбыточный тест –

такой, из которого нельзя убрать ни одной проверки без уменьшения его

глубины.

1.7.5.3. Классификация тестов

- по программной основе:

- функциональные – проверяют соответствие функционирования

устройства заложенным в него принципам;

- структурные – проверяют структуру (схему) устройства;

- по цели:

- проверяющие – определяют исправность устройства;

49

Page 50: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- диагностические – локализуют неисправности.

1.7.5.4. Методы синтеза тестов:

- структурные – оперируют явно заданным множеством неисправно-

стей;

- абстрактные – оперируют моделью исправной системы.

Методы генерации входных наборов:

- вероятностный – набор генерируется случайный, поэтому он не все-

гда оптимален и даже не всегда что-либо выявляет, поэтому при таком ме-

тоде требуется проверка сгенерированного набора;

- детерминированный – определяет векторы входных воздействий для

всех неисправностей путем анализа путей прохождения сигналов со входа

на выход через место неисправности.

Контрольные вопросы

1. Типовой маршрут синтеза логической (комбинационной) схемы.

2. Виды моделей, применяемые в системном проектировании.

3. Моделирование в системе имитационного моделирования, модели эле-

ментов и систем.

4. Назначение, структура и свойства сетей Петри.

5. Модели и виды моделирования, применяемые на логическом уровне в

процедурах анализа и синтеза.

6. Классификация, характеристики и методы синтеза тестов.

50

Page 51: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.8. Автоматизация на конструкторском аспекте

Основные задачи проектирования электронных устройств:

- Построение ряда конструктивных элементов, реализующих функцию

разрабатываемой аппаратуры.

- Преобразование функциональной схемы в электрическую схему со-

единений выбранных элементов.

- Разделение схемы на части - узлы, реализуемые в виде отдельных

компонентов – компоновка.

- Трассировка соединений в отдельных компонентах.

Первые две задачи, как правило, выполняются вручную, а для послед-

них двух наработан достаточно большой материал, позволяющий осущест-

влять автоматизацию.

1.8.1. Уровни конструирования

1. Уровень проектирования шкафов. Соединение элементов, как пра-

вило, проводное.

2. Уровень проектирования панелей и блоков. Соединение элементов

произвольное.

3. Уровень проектирования типовых элементов замены (печатные

платы). Соединение элементов – печатный монтаж.

4. Уровень проектирования элементов (ИМС, микросборки). Соеди-

нение элементов – печатный монтаж.

1.8.2. Автоматизация проектирования шкафов и блоков

1.8.2.1. Разделение схемы на части.

Критерии качества разделения схемы на части:

- количество внешних, межузловых связей (минимум);

- объем конструкции – количество узлов (минимум);

- число типовых узлов (максимум);

51

Page 52: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- число элементов в узле (оговаривается редко).

Задача разделения схемы решается методами сокращенного перебора

вариантов с их оценкой. При формализации задачи определения оптималь-

ности выполненного разделения схемы схема представляется в виде взве-

шенного графа и отыскивается минимум функции:

( )

−∑∑∑

= = =

m

l

n

i

n

jjlilij bba

1 1 1

1min,

где: aij – соединение элементов i и j между собой,

bil - принадлежность элемента i узлу (фрагменту схемы) l,

m – число узлов (фрагментов схемы),

n – число элементов.

при ограничениях:

- на вместимость узла: , ∑=

≤n

iil Kb

1

- на число выводов у узла: . ( )∑∑

= =

−n

i

n

jjlilij bba

1 1

1

1.8.2 2. Проводной монтаж

Исходными данными для монтажа являются разделенная схема, коор-

динаты узлов, то есть координаты всех выводов, тип монтажа и метрика

(обычно прямоугольная).

Ограничения проводного монтажа:

- число проводов на одном выводе (штыре, клемме, контактной пла-

стине);

- число проводов в жгуте, если монтаж - жгутовой.

Этапы проектирования монтажа:

- задать расположение жгутов (каналов);

- распределить проводники по жгутам;

52

Page 53: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- множество всех соединений схемы разделяется на подмножества,

соответствующие отдельным узлам (соединениям) принципиальной элек-

трической схемы;

- для подмножеств определяется последовательность соединений вы-

водов, обеспечивающая минимальную суммарную длину всех соединений.

Для этого используется алгоритмы построения минимальных связываю-

щих деревьев, например,

алгоритм Прима:

На первом шаге алгоритма для произвольной вершины в выбранной

метрике определяется ближайшая вершина. На последующих шагах опре-

делятся ближайшая вершина уже ко всей соединенной группе вершин.

1.8.3. Автоматизация проектирования печатных плат

1.8.3.1. Виды печатных плат:

- однослойные. Как правило, характеризуются разнообразием разме-

ров и форм элементов и токовой загруженности проводников. Перед нача-

лом автоматизированных работ для этих плат характерна ручная расста-

новка крупногабаритных и не передвигаемых (привязка) элементов. Кроме

того, после расстановки элементов выполняется планаризация графа со-

единений. Алгоритм трассировки соединений – волновой;

- двухслойные. Наиболее распространенный вид плат. Характеризует-

ся близкими размерами элементов и малой токовой загруженностью. Ал-

горитм трассировки соединений – волновой;

- многослойные. Применяются редко из-за большой стоимости и ма-

лой ремонтопригодности. Алгоритм трассировки соединений часто мало-

поворотный.

1.8.3.2.Элементы печатных плат:

- рисунок токопроводящих соединений (проводники и контактные

площадки);

53

Page 54: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- межслойные переходы;

- сквозные отверстия;

- навесные шины (питание).

1.8.3.3. Критерии качества проектирования печатной платы:

- число (%) неразведенных соединений (минимум);

- число слоев (минимум);

- число переходных отверстий (минимум);

- суммарная длина соединений (минимум);

- равномерность монтажа;

- максимальная длина соединения.

1.8.3.4. Модели монтажного пространства для расстановки элементов

и трассировки соединений:

- регулярное. Характеризуется прямоугольной сеткой и одинаковыми

по размерам элементами. Для него используется графовая модель – эле-

менты расположены в узлах сетки. Алгоритм заполнения монтажного про-

странства при расстановке элементов – метод блуждающего трафарета.

Трафарет с размерами m*n (размеры печатной платы) устанавливается на

поле с размерами (2m-1)*(2n-1), первый элемент (самый большой и слож-

ный) устанавливается в центре поля, все последующие по одному добав-

ляются как можно ближе к центру поля, гранича с уже установленной

группой элементов в пределах трафарета, который при необходимости

может сдвигаться в пределах поля;

- нерегулярное. Характеризуется такой-же сеткой и неодинаковыми

по размерам элементами. Элемент может занимать несколько узлов и име-

ет следующие метрические характеристики:

- координаты точки привязки (базовой);

- размерами относительно точки привязки;

- координатами выводов относительно точки привязки.

54

Page 55: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Все поле монтажа состоит из прямоугольных (чаще квадратных) дис-

кретов, длина и ширина которых включают кроме размеров основного по-

ля с постоянным признаком (занят корпусом элемента, трассой, выводом

элемента) равномерный по периметру дискрета зазор. Для многослойных

плат в модели присутствует третья координата – номер слоя.

1.8.3.5. Расстановка элементов

- Быстродействующий алгоритм расстановки выполняется при уста-

новке на плату каждого очередного элемента. Элемент моделируется точ-

кой. При анализе качества расстановки минимизируется функция:

∑∑n

i

n

jijijdamin

, где: aij – число связей между элементами, dij – длина связи.

- Улучшающий алгоритм выполняется после расстановки всех эле-

ментов на плате и минимизирует суммарное расстояние между выводами

(прямоугольная метрика на этапе расстановки не учитывается). При вы-

полнении алгоритма проверяются четыре варианта расположения элемента

(при поворотах его на 900). Алгоритм выполняется путем парных переста-

новок элементов. Выбор элементов для перестановок осуществляется либо

методом Штейнберга: из множества элементов выбирают подмножество

не связанных между собой, либо методом сечений: поле делится сечением

на две части через область максимальной загруженности, пары элементов

выбираются по разные стороны сечения, сначала рядом с ним, затем далее.

Область максимальной загруженности определяется по методу покры-

вающих прямоугольников: Все соединения (узлы принципиальной элек-

трической схемы) покрываются прямоугольниками минимальных разме-

ров. Место, где перекрывается наибольшее их количество и есть место

максимальной загруженности.

1.8.3.6. Трассировка соединений.

Перед началом трассировки по алгоритму Прима определяется после

довательность создания трасс.

-

55

Page 56: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Волновые алгоритмы (последовательные).

Пример волнового алгоритма: От начала и от конца очередной трассы

(от выводов) одновременно по всем слоям начинается маркировка свобод-

ных дискретов. Маркировка ведется номерами «волн», по возрастающей.

Маркируются те дискреты, которые имеют общую грань с маркированной

областью. Маркировка ведется до соприкосновения маркируемых областей

или до заполнения всей свободной области. От точки соприкосновения

маркировок (если их несколько, то от любой из них) в обратном направле-

нии по убыванию номеров волн маркированные номерами дискреты мар-

кируются уже как трасса, а оставшиеся неиспользованными трассой мар-

кированные дискреты освобождаются. Алгоритм допускает пропуски

маркировок: Если задана цена шага в неправильном направлении (не по

направлению на противоположный вывод трассы) больше единицы (4), то

заданное ценой количество шагов пропускается. Если маркировки в одном

слое не сомкнулись, а имеется несколько слоев и задана цена шага со слоя

на слой (50), алгоритм может создавать переходные отверстия, и одна

трасса может быть создана в нескольких слоях. После заполнения всей

свободной области без образования трассы маркировки «волны» все равно

снимаются, а такая трасса попадает в список неразведенных.

После трассировки при наличии неразведенных трасс может выпол-

няться дотрассировка с использованием системы приоритетов. Ее правила:

- нельзя разъединять разведенные трассы;

- нельзя отбирать дискреты у выводов;

- одинаковых приоритетов не бывает;

- у неразведенных трасс приоритет выше, чем у разведенных;

- приоритет выше у более короткой трассы.

Используя такие правила при наличии свободного места на плате, не-

разведенная трасса, блокированная ранее проведенной трассой, может

отобрать у нее часть дискретов, используя волновой алгоритм в качестве

56

Page 57: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

подпрограммы соединения на свободном месте временно разорванной

трассы.

- Малоповоротные алгоритмы.

Перед выполнением малоповоротного алгоритма производится рас-

пределение проводников по слоям и определение минимально необходи-

мого количества слоев. Для этого по электрической схеме строится граф

пересечений, вершинами которого являются ветви электрической схемы,

а дугами - пересечения являются ветвей электрической схемы. Вершины

графа пересечений «раскрашиваются», то есть маркируются таким обра-

зом, чтобы маркировка связанных хоть одной дугой вершин не совпадала.

Число различающихся маркировок и есть минимально необходимое коли-

чество слоев.

Шаг малоповоротного алгоритма выполняется по одной координате в

направлении противоположного контакта трассы, но не на один дискрет,

как в волновом алгоритме, а на отрезок прямой линии до занятого дискрета

или до координаты противоположного контакта трассы. Следующий шаг

выполняется в перпендикулярном направлении. На каждом шаге выполня-

ется одновременно трассировка всех трасс во всех слоях. В чередующихся

слоях создаются в основном перпендикулярные друг другу проводники,

путем использования приоритетов. При этом минимизируется влияние

проводников друг на друга (емкость, индуктивность).

1.8.4. Автоматизация проектирования ИМС

1.8.4.1. Проектирование гибридных ИС (ГИС) имеет много общего с

проектированием печатных плат. Особенности же следующие:

- функциональная сложность;

- информационная сложность;

- разнообразие бескорпусных элементов и способов их крепления и

соединения;

57

Page 58: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- разброс геометрических размеров и конфигураций;

- обязательный учет тепла и электромагнитной совместимости;

- невозможность применения метода покрывающих прямоугольников.

Этапы конструирования:

- расчет пленочных элементов;

- расстановка пленочных элементов;

- расстановка дискретных элементов;

- трассировка в двух слоях.

1.8.4.2. Проектирование полупроводниковых ИС (ППИС) - это проек-

тирование фотошаблонов, по которым изготавливаются ИМС. ППИС, как

правило, состоят из ячеек и соединений между ними, причем соединения

составляют до 80% площади кристалла. Ячейки располагаются рядами

одинаковой высоты (в случае матричных ИМС все ячейки одинаковые), а

трассы прокладываются по каналам между ячейками.

Размещение ячеек в строке использует алгоритм максиминного вы-

бора: первой устанавливается ячейка с максимальным числом выводов,

далее справа и слева от нее последовательно устанавливаются остальные,

меньшие ячейки. Для определения места установки очередной ячейки:

справа или слева, используется улучшающий алгоритм, который использо-

вался при расстановке элементов на печатной плате. При этом минимизи-

руется сумма только горизонтальных составляющих трасс, вертикальная

составляющая, пересекающая несколько рядов ячеек, на этом этапе не учи-

тывается. После расстановки всех рядов ячеек выполняется прокладка вер-

тикальных, транзитных частей трасс по вертикальным каналам, возни-

кающие при этом новые горизонтальные составляющие трасс добавляются

к уже имеющимся.

Далее определяется минимальная ширина канала с использованием

графа интервалов: вершины графа – это горизонтальные фрагменты трасс

– интервалы, дуги – перехлесты этих интервалов. Минимальная ширина

58

Page 59: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

канала определяется путем «раскраски» вершин графа интервалов по обра-

зу использованного при автоматизации проектирования печатных плат

графа пересечений. После 100%-ной трассировки выполняются алгорит-

мы сжатия: от центра ИМС по спирали к периферии. Количество слоев

проводников в ППИС достигает шести.

Для трассировки матричных ППИС используются малоповоротные

алгоритмы по магистралям, так как в этих ИМС из-за одинаковых разме-

ров ячеек вертикальные каналы тоже сквозные, как и горизонтальные.

Контрольные вопросы

1. Основные задачи проектирования электронных устройств на конструк-

торском аспекте.

2. Уровни конструирования на конструкторском аспекте.

3. Особенности автоматизации разделения схемы на части.

4. Особенности автоматизации проектирования проводного монтажа.

5. Элементы и виды печатных плат.

6. Модели монтажного пространства для расстановки элементов и трасси-

ровки соединений.

7. Особенности автоматизации расстановки элементов на плате.

8. Сущность алгоритма Прима.

9. Сущность методов сечений, метод Штейнберга.

10. Трассировка соединений по волновому алгоритму.

11. Трассировка соединений по малоповоротному алгоритму.

12. Особенности автоматизации проектирования гибридных ИС.

13. Особенности автоматизации проектирования ППИС.

14. Сущность алгоритма максиминного выбора.

59

Page 60: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

1.9. Автоматизация на технологическом аспекте проектирования

Здесь цель автоматизации – технологическая подготовка производст-

ва, разработка экономичного производства изделия.

Задачи автоматизации (большинство задач – синтез):

- Обеспечение технологичности изделия.

- Проектирование оптимальных техпроцессов.

- Проектирование специальной оснастки.

- Проектирование программ управления оборудованием с ЧПУ.

- Изготовление документации.

На этом аспекте много параметров регламентировано стандартами, а

именно:

- правила выбора объекта автоматизации;

- состав показателей, характеризующий объект автоматизации и поря-

док их расчета;

- правила определения уровня автоматизации решения задач;

- правила определения очередности решения задач;

- постановка задач для автоматизированного решения;

- правила формирования информационных массивов;

- правила выбора технических средств.

1.9.1. Модели и алгоритмы

1. При описании объектов модели структурные – композиция типо-

вых элементов. Типовой элемент описывается графом связей (сопряже-

ний), вершины которого – это элементы конструкции (неделимые), а ребра

– поверхности связи. Пример: резистор на плате – вершины: резистор и

плата, ребра: положение резистора относительно платы и две пайки. Кроме

того, используется граф размеров, вершины которого – это сопрягаемые

поверхности, а ребра – размеры, каждый цикл графа - размерная цепь. В

случае, если операция не сборка, то используется схема обработки – сово-

60

Page 61: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

купность контуров операционных эскизов заготовки и промежуточных

контуров. Деталь задается контурами с указанием узловых точек, которые

делятся на инструментальные участки. Задается схема обработки графом,

вершины которого – это состояния контуров, а ребра – методы обработки.

2. Модели техпроцессов могут быть:

- табличные (для одной последовательности);

- сетевые (для множества последовательных структур);

- перестановочные, используемые при изменении порядка следования;

- функциональные - могут использоваться при оптимизации произ-

водства модели как зависимость выходных параметров от управляемых

параметров техпроцесса (скорость резания от подачи и т.д.). Параметры

определяются методами планирования эксперимента или корреляционно-

регрессивного анализа.

Модели задаются графом взаимосвязи операций, вершины которого –

это техпроцессы, а ребра – последовательности техпроцессов.

Этапы определения коэффициентов регрессии:

- ранжирование факторов с отсеиванием малозначащих;

- экспериментальное отсеивание (остается 2-3);

- проверка адекватности.

1.9.2. Методы синтеза техпроцессов

1. Многоуровневый итерационный (уровни – по степени детализации

моделей от принципиальной схемы техпроцесса до программ для ЧПУ).

2. Метод преобразования аналога. Этапы:

- поиск типового техпроцесса;

- расчет параметров операций.

Задача обеспечения технологичности выполняется методами:

- экспертных оценок (при наличии аналогов);

- сбором статистической информации и обобщением;

61

Page 62: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- математическим моделированием производственного процесса.

Пример: сборка печатной платы. Цель – собираемость. Условие соби-

раемости: смещение центра вывода радиоэлемента относительно центра

отверстия должно быть меньше зазора меду штырем и отверстием.

1.9.3. Автоматизация проектирования специальной оснастки

Направления автоматизации:

- типизация конструкторских и технологических решений;

- отделение проблемной части от инвариантной;

- создание банков данных конструкторско-технологического назначе-

ния.

Пример - проектирование фотошаблона.

Задачи формализации этого техпроцесса:

- контроль топологии;

- получение чертежей отдельных слоев;

- синтез программ для получения шаблона на оборудовании с ЧПУ.

Контроль топологии состоит из проверок:

- искажений контуров в результате сдвига или пропуска угловых то-

чек;

- проверка минимального сближения пар отрезков, осуществляется

делением шаблона на части и проверкой их в отдельности;

- контроль соответствия топологии электрической схеме:

- составление таблицы общих узлов для каждой цепи;

- сортировка узлов по вхождению в Э,Б,К – слои;

- окнам вскрытия Э,Б,К – слоев ставится в соответствие номер эле-

мента схемы;

- сравнивается полученная схема с проектируемой путем изоморфиз-

ма графов;

62

Page 63: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- контроль схемотехнических параметров и паразитных элементов,

паразитные элементы распознаются выявлением определенных сочетаний

конфигураций слоев.

1.9.4. Подготовка управляющих программ

Основной подход к автоматизации этой задачи – параметрический:

разрабатывается универсальная программа, в которую подставляются кон-

кретные значения параметров. При этом решаются следующие подзадачи:

- минимизация длины программы;

- минимизация частоты смены инструмента;

- минимизация времени работы.

1.9.5. Автоматизация выпуска конструкторской документации

Задачи автоматизации:

- создание и автоматическое воспроизводство текстовой и графиче-

ской информации;

- разработка подсистем ввода, контроля, корректировки и вывода ин-

формации;

- автоматизация подготовки носителей для оборудования с ЧПУ;

- разработка диагностических программ на достоверность информа-

ции;

- аппаратная связь проектировщика с банком данных.

Контрольные вопросы

1. Задачи автоматизации на технологическом аспекте.

2. Модели объектов и техпроцессов, используемые на технологическом ас-

пекте проектирования.

3. Методы синтеза техпроцессов.

63

Page 64: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2. Основные сведения о работе с программами для

схемотехнического моделирования

Многие современные программные продукты для схемотехнического

моделирования используют текстовое описание схем на языке, близком

языку программы PSpice. Моделирование характеристик узла РЭС, подле-

жащего впоследствии конструкторскому проектированию, в данном посо-

бии рассматривается на примерах анализа аналоговых схем с помощью па-

кета программ MicroCAP для Windows, тоже работающего по SPICE-

технологии [9],[8].

2.1. Основные команды и режимы работы редактора схем программы

MicroCAP

После вызова программы MicroCAP (версии 5-8) на экран выводится

окно редактора схем. Схемы создаются и редактируются с помощью набо-

ра команд, сгруппированных в системе ниспадающих меню. Наиболее

употребительные команды вызываются нажатием на пиктограммы или

комбинации «горячих» клавиш». Имеется несколько основных режимов

редактора схем, в каждом из которых доступны определенные команды.

Доступные команды и соответствующие им пиктограммы ярко высвечи-

ваются, недоступные затенены. Список этих режимов для MicroCAPV при-

веден в табл. 2.1.

64

Page 65: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Таблица 2.1.

2.1.1. Список пиктограмм основных режимов.

Режим НазначениеРедактирование и опрос Select mode Выбор объектов для выполнения следующих операций:

редактирование, очистка (без копирования в буфер об-мена), удаление (с копированием в буфер обмена), пе-ремещение, вращение, мупьтиплицирование, зеркальное отражение. Отдельный объект выбирается щелчком мыши. Для добавления объекта в группу предваритель-но нажимается Ctrl.

Comp. mode Добавление компонентов в схему Text mode Нанесение на схему текстовых надписей. Wire mode Ввод ортогональных проводников (цепей) WireD mode Ввод цепей под произвольным углом Graphics Рисование графических объектов. Flag mode Ввод маркеров для быстрой навигации на схеме Info mode

Вывод информации о параметрах выбранного компо-нента с возможностью редактирования. Возврат в окно схем MicroCAPV - кнопкой в правом нижнем углу

Help mode

Вызов текстовой информации о модели выбранного компонента. Нажатие A1I+F1 выводит описание син-таксиса директивы, указанной курсором в окне текста, в формате SPICE или схемного ввода.

Отображение информации Grid txt mode Высвечивание всех текстовых надписей Attr. txt mode Высвечивание обозначений всех компонентов Node numb. Вывод номеров узлов схемы Node volt./states

Вывод узловых потенциалов аналоговых узлов и со-стояний цифровых узлов в режиме по постоянному току

Pin Обозначение выводов всех компонентов Command Высвечивание всех команд, размещенных на схеме Cursor Изображение курсора в виде перекрестья на весь экран Grid Высвечивание сетки Border Заключение чертежа схемы в рамку Title Нанесение изображения углового штампа

65

Page 66: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Режимы редактирования и опроса включаются поочередно. Одновре-

менно может быть включен только один режим.

2.1.2. Падающее меню программы MicroCAP

Далее приведено описание основных команд меню, доступных при

редактировании принципиальных схем. Команды, идентичные аналогич-

ным из популярных Windows – приложений, пропущены!

2.1.2.1. Меню File содержит команды для работы с файлами схем, тек-

стовыми заданиями в формате SPICE, файлами библиотек математических

моделей и текстовыми документами.

Таблица 2.2.

Список команд меню File

Команда НазначениеОткрытие существующего файла (по умолчанию открывается каталог, из которого в последний раз производилась загрузка) (*.CIR) файлов схем в формате МicroСAP (*.CKT,*.LIB) текстовых файлов в формате SPICE Model Library (*.LBR)

бинарных файлов библиотек моделей (с воз-можностями редактирования)

Open... (Ctrl+0)

Model Data (*.MDL)

бинарных файлов библиотек моделей и спра-вочных данных и вызов программы MODEL

Create SPICE - file...

Создание текстового файла в формате SPICE или PSpice для схемы из текущего окна. Возможно задание одного или не-скольких видов анализа по дополнительному запросу. По умолчанию создается файл, имя которого совпадает с именем схемы, расширение имени -.СКТ

Revert Восстановление содержания файла текущего окна с диска. Ес-ли команда Undo позволяет восстановить только последнее изменение, то данная команда восстанавливает все изменения

2.1.2.2. Меню Edit содержит команды редактирования.

66

Page 67: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Таблица 2.3.

Список команд меню Edit

Команда Назначение Clear Удаление выбранного объекта без копирования в буфер обменаSelect All Выбор всех объектов текущего окнаCopy to Clipboard

Копирование содержания текущего окна в буфер обмена в стандартном графическом формате BMP

AddPage Добавление к схеме новой страницыDelPage... Удаление одной или нескольких страниц схемы

Add Model Statements

Размещение в окне текста описания моделей компонентов, ко-торые еще не были помещены в него. Модели просматривают-ся во всех библиотеках, указанных по командам .LIB.Редактирование объектов, заключенных в прямоугольную рам-ку -"ящик"

Копирование фрагмента схемы указанное количество раз. Копирование производится по горизонтали. по вертикали или в обоих направлениях.

Mirror Создание зеркального отражения фрагмента схемы. По дополнительному запросу выбирается направление отраже-ния по горизонтали или по вертикали, а также необходимость копирования текста

Rotate Вращение фрагмента схемы на 90" против часовой стрелки

Flip Y Зеркальное отображение относительно оси Y, распо-ложенной посредине выбранной области

Box Operations

Flip X Зеркальное отображение относительно оси X. распо-ложенной посредине выбранной области

Change Attribute Display

Изменение статуса (видимости) пяти основных атрибутов всех компонентов схемы PART, VALUE, MODEL, TIMING MODEL, I/O MODEL

2.1.2.3. Меню Component содержит каталог библиотек аналоговых и

цифровых компонентов. Каталог имеет иерархическое меню. Библиотека

создается с помощью редактора компонентов, вызываемого из меню

Windows по команде Component Editor. Библиотеки Analog Primitives и

Digital Primitives содержат модели типовых компонентов без указания зна-

чения их параметров. Библиотеки Analog Library и Digital Library содержат

модели примерно 7700 коммерческих аналоговых и цифровых компонен-

67

Page 68: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

тов. Параметры моделей компонентов содержатся в текстовых файлах с

расширением имени.

Таблица 2.4.

Список команд меню Component

Команда Назначение Типовые аналоговые компонентыPassive Пассивные компоненты (резисторы и т. п.) Active Devices Активные устройства (транзисторы и т. п.) Waveform Источники сигналовLaplace Sources

Линейные управляемые источники, задаваемые преобразованием Лапласа

Function Функциональные источники сигналов Dependent Зависимые источники Macros Макромодели, заданные схемами замещ. Subckts Макромодели, заданные текстовым описанием

на языке PSpiceConnectors Соединители

Analog Primitives

Miscellaneous Смесь Analog lib. Библиотека моделей аналоговых компонентов разных фирм

Типовые цифровые компоненты Standard Gates Стандартные вентили Tri-State Gates Вентили с тремя состояниями Edge-Triggered Flip-Flops

Триггеры с динамическим управлением

Gated Flip-Flops/Latches

Триггеры с потенциальным управлением

Pullups / Источники постоянных логических сигн. Delay Line Цифровые пинии задержки Programmable Программируемые логические матрицы Delay Line Цифровые пинии задержкиProgrammable Logic Arrays

Программируемые логические матрицы

Logic Логические выражения Pin Delay Задание задержек распространения сигналовConstraints Контроль временных соотношений AtoD Аналого-цифровые преобразователи DtoA Цифро-аналоговые преобразователи

Digital Primitives

Stimulus Генераторы цифровых сигналов Digital lib. Библиотека моделей цифровых компонентов разных фирм

68

Page 69: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Для выбора компонента, размещаемого на схему, нажимают клавиши

стрелок и затем Enter или щелкают кнопкой мыши. Размещение выбранно-

го компонента на схему производится щелчком мыши в окне схем. Пере-

мещение мыши при удерживаемой левой кнопке, приводит к перемеще-

нию компонента на схеме. Одновременное нажатие правой кнопки мыши

поворачивает компонент на 90" против часовой стрелки. Отпускание левой

кнопки мыши фиксирует компонент на схеме. После этого открывается

окно для задания позиционного обозначения, параметров компонента или

имени его модели. При выборе в окне одного из параметров компонента на

строке сообщений приводится формат его ввода. Поясним понятие панелей

списков компонентов. Имена наиболее употребительных компонентов

можно занести в 9 специальных панелей. Программа МicroСAPV постав-

ляется с четырьмя такими панелями, куда занесены имена наиболее упот-

ребляемых аналоговых и цифровых компонентов. Эти панели пользователь

может отредактировать по своему усмотрению и. создать новые с помо-

щью редактора компонентов Component Editor, используя опцию User. Па-

нели раскрываются в окне схемы нажатием клавиш Сtгl+<номер панели >.

2.1.2.4. Меню Windows содержит команды управления окнами

Таблица 2.5.

Список команд меню Windows

Команда НазначениеView Text/Drawing Area (Ctrl+G)

Переключение между окном схем и соответствующим ему окном текста

Split Разделение рабочего окна на окно схем и окно текста. Component Editor... Переход в режим редактирования библиотеки комп-ов.Shape Editor... Переход в режим редактирования графики символов Model Program... Вызов программы идентификации параметров моделей

аналоговых компонентов MODEL по паспортным и экспериментальным данным

69

Page 70: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2.1.2.5. Меню Options содержит команды выборов режима редактиро-

вания и задания различных параметров программы MicroCAP

Таблица 2.6.

Список команд меню Options

Команда Назначение Tools (Ctri+0) Включение / выключение строки инструментов Help Bar Включение / выключение строки описания команды

Выбор режима, дополнительно к таблице 2.1 Scale

(F7) Вывод на весь экран части графика, за-ключенного в рамку

Cursor Режим электронного курсора

Mode

Point Tag

Нанесение на график значений координат X,Y выбранной точки

Preferences... (Ctrl+Shift+P)

Назначение цвета, шрифтов и других параметров, зна-чения которых сохраняются в файле текущей схемы.

Global Settings (Ctfl+Shift+G)

Задание глобальных параметров, определяющих ре-жим моделирования.

Component Palette 1—9 (Clrl+1…. Ctrl+9)

Вывод на окно схем от 1 до 9 панелей со списком компонентов для их быстрого выбора при составлении схемы. Состав компонентов в панелях определяется в режиме Component Editor

2.1.2.6. Меню Analysis содержит перечень режимов моделирования

Таблица 2.7.

Список команд меню Analysis

Команда НазначениеTransient Анализ переходных процессовAC Analysis... Анализ частотных характеристикDC Analysis... Анализ передаточных функций по постоянному токуProbe Transient Analysis-

Анализ переходных процессов и отображение их ре-зультатов в режиме Probe

Probe AC Analysis-

Анализ частотных характеристик и отображение их ре-зультатов в режиме Probe

Probe DC Analysis...

Анализ передаточных функций по постоянному току и отображение их результатов в режиме Probe

70

Page 71: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2.1.3. Создание чертежа схем

Новая схема создается по команде File-New. При этом возможно как

графическое изображение схемы (режим Schematic), так и ее текстовое

описание (Spice/Text). Созданные ранее схемы загружаются по команде

File-Open. В результате выполнения команды File-New открывается пустой

экран, на котором создается новая схема. Первоначально рекомендуется

нажатием на пиктограмму (команда View-Grid меню Options) нанести

на экран координатную сетку для упрощения построения схемы. Шаг сет-

ки установлен фиксированным: равным 0,1 дюйма или 2.54 мм.

2.1.3.1. Добавление компонентов в схему

Перед добавлением элемента на схему его нужно выбрать в меню

Component. Наиболее часто встречающиеся компоненты имеет смысл раз-

местить на специальных панелях для ускорения их поиска. Выбранный тем

или иным способом компонент размещается на схеме щелчком мыши. На-

жатую кнопку мыши не нужно отпускать, пока компонент перемещением

курсора не будет размещен в нужное место схемы. Компонент поворачива-

ется на 900 нажатием правой кнопки (до отпускания левой кнопки). Фикса-

ция компонента на схеме выполняется отпусканием кнопки мыши.

2.1.3.2. Ввод и редактирование атрибутов компонента

После ввода на схему компонента появляется диалоговое окно атри-

бутов. Простейшие компоненты, такие как резистор, конденсатор и т. п.

имеют минимальный набор атрибутов, к которым относятся позиционное

обозначение - PART (например, R1, R2, RC, C1) и номинальное значение

параметра - VALUE (например, 2.2k, 100pF, 15u). Программа МicroСAP5

назначает первый символ позиционного обозначения по правилам, приня-

тым в программе PSpice. Однако по желанию пользователя можно вруч-

ную изменять позиционные обозначения, например, обозначая транзисто-

ры согласно ЕСКД вместо Q1, Q2 как VT1, VT2 и т.п. При этом транслятор

схем в формате PSpice (no команде Create SPICE file меню File) автомати-

71

Page 72: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

чески добавит перед таким именем префикс согласно табл. 2.8, например

QVT1, QVT, что позволит провести моделирование и в программе PSpice

без дополнительного редактирования.

Таблица 2.8.

Префиксы (первые символы имен компонентов)

Первый символ

Тип компонента

В Арсенид-галлиевый полевой транзистор (GaAsFET) с ка-налом п-типа

С КонденсаторD ДиодE Источник напряжения, управляемый напряжениемF Источник тока, управляемый током (ИТУТ)G Источник тока, управляемый напряжением (ИТУН)H Источник напряжения, управляемый током (ИНУТ)I Независимый источник токаJ Полевой транзистор с управляющим р-n-переходом (FET) К Связанные индуктивностиL ИндуктивностьМ МОП - транзистор (MOSFET)N Аналого-цифровой преобразователь на входе цифрового

устройства О Цифроаналоговый преобразователь на выходе цифрового

устройства Q Биполярный транзисторR РезисторS Ключ, управляемый напряжениемТ Линия передачи V Независимый источник напряженияW Ключ, управляемый токомU Цифровое устройствоХ Макромодель

Большинство компонентов (за исключением простейших — типа ре-

зистора, конденсатора, индуктивности, источника постоянного напряже-

ния и т.п.) имеют атрибут имени модели - MODEL (например, транзистор

КТ312А, операционный усилитель UD1407). Количество атрибутов опре-

деляется типом компонента. Каждый атрибут имеет имя (Name) и значение

(Value). Имена атрибутов обычно задаются при создании компонента, хотя

72

Page 73: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

это можно сделать и в процессе их редактирования. В списке атрибутов

курсором выбирается нужный атрибут и на строках Name, Value вводятся

или редактируются его имя и значение. С помощью панелей управления

Display задается видимость имени и значения атрибута на схеме.

На панели управления Display Pin Names задается видимость на схеме

имен выводов компонента, что иногда облегчает понимание схемы. Одна-

ко обычно эта панель находится в состоянии "выключено".

Нажатие на кнопку Expand открывает окно для ввода текста большого

объема. Для этого на строке Value вводится идентификатор переменой, а в

окне Expand описывается оператор .DEFINE с описанием этой переменной.

В окне, расположенном справа от окна атрибутов, приводится список

моделей, находящихся в доступных библиотеках. Этот список выводится

автоматически, когда компонент впервые размещается на схеме и его мо-

дель не выбрана (на строке MODEL после знака равенства ничего не ука-

зано). При необходимости изменении имени модели компонента этот спи-

сок выводится нажатием кнопки Model.

Каждому компоненту можно добавить ряд атрибутов, не оказываю-

щих влияние на результаты моделирования: например, тип корпуса, мощ-

ность. Для добавления нового атрибута нажимается кнопка Add, в резуль-

тате на строке Name появится стандартное имя атрибута пользователя

USER, строка Value заполняется самостоятельно. С помощью кнопки

Delete выбранный атрибут удаляется. Изменение шрифта атрибута, его

размера и стиля выполняется после нажатия кнопки Font. Редактирование

существующих атрибутов выполняется после двойного щелчка мышью

при расположении курсора на выбранном атрибуте.

2.1.3.3. Ввод и редактирование электрических цепей (проводников)

Режим ввода проводников включается щелчком мыши по пиктограм-

ме или выбором команды Options-Mode-Wire или нажатием комбинации

73

Page 74: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

клавиш Ctrl+W. Начало проводника отмечается щелчком мыши на выводе

компонента. Не отпуская левую клавишу мыши, наносят проводник на

чертеж. Если курсор движется по горизонтали или вертикали, прокладыва-

ется прямолинейный проводник. Если же он движется по диагонали, обра-

зуется один изгиб под углом 90°. Отпускание клавиши фиксирует оконча-

ние линии. Ввод проводников под произвольным углом выполняется в ре-

жиме Options-Mode-WireD (включается нажатием на пиктограмму ).

1. Если в диалоговом окне Preferences меню Options включена опция

Node Snap, не обязательно точно позиционировать курсор на вывод ком-

понента или точку проводника, нужно лишь подвести его достаточно

близко (поместить в соседний узел сетки), а программа сама выполнит

электрическое соединение.

2. Электрические соединения образуются, когда проводник заканчи-

вается в средней части другого проводника, образуя Т-образную цепь. На-

личие такого соединения обозначается точкой, как на обычных принципи-

альных электрических схемах.

3. Соединения образуются также, если проводник пересекает конеч-

ную точку другого проводника или вывод компонента.

4. Если в процессе проведения проводника он пересекает другой про-

водник, не останавливаясь в точке пересечения, электрическое соединение

не образуется и точка не проставляется. В старых версиях программы

Micro-Cap для этого используются компоненты типа Jumper.

2.1.3.4. Нанесение на схему текстовых описаний моделей компонен-

тов

При размещении на схеме компонентов, имеющих модели, их тексто-

вые описания автоматически наносятся в текстовое окно (и сохраняются в

файле схемы с расширением имени .CIR), если при вводе компонента по-

является диалоговое окно для выбора его модели. Модели выбираются при

74

Page 75: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

вводе типовых компонентов (например, транзистора типа п-р-п), разме-

щенных в библиотеках Analog Primitives и Digital Primitives.

При вводе компонентов из библиотек Analog Library и Digital Library,

в которых находятся конкретные компоненты (например, транзистор

КТ316А), описания их моделей переносятся в текстовое окно по команде

Add Model Statements меню Edit или нажатием на пиктограмму .model. В

результате в окно текста переносятся описания моделей всех компонентов

схемы, которые их еще не имеют. Эти описания берутся из библиотек, за-

груженных в текущем сеансе.

Если модель компонента не найдена, помещается модель с параметра-

ми, назначаемыми по умолчанию. Поэтому перед выполнением моделиро-

вания необходимо просмотреть в окне текста все перенесенные туда моде-

ли компонентов и при необходимости их отредактировать. Имена вновь

созданных файлов библиотек нужно указать в файле NOM.LIB или непо-

средственно в окне текста с помощью директивы .LIB.

2.1.3.5. Копирование фрагментов схем. Перемещение, вращение, зер-

кальное отображение и удаление объектов

Этот режим позволяет определить прямоугольную область, в которую

заключен фрагмент схемы, и затем скопировать его несколько раз. Пере-

мещение, вращение, зеркальное отображение и удаление объектов начи-

наются нажатием на пиктограмму и выбором одного или нескольких

объектов. Перемещение осуществляется их буксировкой в новое место

расположения. Остальные операции выполняются выбором соот-

ветствующих команд меню Edit.

2.1.3.6. Получение информации о компонентах и их моделях

Нажатие на пиктограмму (команда Info меню Options) включает

режим получения информации. Компонент, информацию о котором нужно

получить, выбирается щелчком мыши. После этого на экран выводятся

значения параметров модели компонента, описание макромодели, таблица

75

Page 76: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

цифровых сигналов или таблица, описания функциональных источников в

зависимости от типа компонента. Для простейших компонентов, не имею-

щих модели, выводится диалоговое окно редактирования атрибутов. Воз-

врат к окну схемы (Drawing Areas) выполняется по Ctrl-G или нажатием

пиктограммы в правом нижнем углу окна.

При затруднениях с назначением параметров моделей компонентов

нажимается пиктограмма (команда Mode-Help меню Options). В этом

режиме щелчок на компоненте предоставляет информацию о синтаксисе

его модели.

2.1.4. Представления чисел, переменных, математических выражений

и правила их использования

При создании принципиальных схем используются числа, переменные

и математические выражения следующего вида.

2.1.4.1. Числа

Числовые значения параметров компонентов представляются в виде:

- действительных чисел с фиксированным десятичным знаком (обратим

внимание, что в качестве десятичного знака в программе МС5 использует-

ся точка). Например, сопротивление 2.5 кОм, записывается как 2500, а ем-

кость 1 мкФ как 0.000001.

- действительных чисел с плавающим десятичным знаком - научная нота-

ция. Например, емкость 1мкф может быть записана как 1Е-6;

- действительных чисел с плавающим десятичным знаком - инженерная

нотация, согласно которой различные степени 10 обозначаются следую-

щими суффиксами:

F фемто 10-15 К кило 103

Р пико 10-12 MEG мега 106

N нано 10-9 G гига 109

U микро 10-6 Т тера 1012

76

Page 77: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

m милли 10-3

При этом большие и малые буквы не различаются. Например, сопро-

тивление 1,5 МОм может быть записано как 1.5MEG, 1.5mеg или 1500К,

емкость 1 мкФ как 1U или 1uF. В последнем примере показано, что после

стандартных суффиксов разрешается помещать любые символы, которые

при интерпретации чисел не будут приниматься во внимание. Пробелы

между числом и буквенным суффиксом не допускаются! (Для экономии

места на графиках малая буква "m" обозначает 10-3 большая буква "М" —

106.)

2.1.4.2. Переменные

В программе МicroСAP имеется ряд констант и переменных, напри-

мер:

PI — число π=3.14159265389795;

TEMP—температура компонентов в градусах Цельсия.

Номера узлов, присваиваемые программой МicroСAP автоматически,

представляют собой целые числа. Кроме того, пользователь может присво-

ить любому узлу имя в виде текстовой алфавитно-цифровой переменной,

начинающейся с буквы или символа "_" и содержащей не более 50 симво-

лов, например А1. Out, Reset.

Переменные, используемые в математических выражениях:

D(A) - логическое состояние цифрового узла А;

V(A) - напряжения на узле А (напряжения измеряются относительно узла

"земли", которой программа присваивает номер 0);

V(A,B) - разность потенциалов между узлами А и В;

V(D1) - напряжение между выводами устройства D1;

1(D1) - ток через устройство D1;

I(A.B) - ток через ветвь между узлами А и В (между этими узлами должна

быть включена единственная ветвь);

IR(Q1) - ток, втекающий в вывод R устройства Q1;

77

Page 78: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

VRS(Q1) - напряжение между выводами R и S устройства Q1;

CRS(Q1) - емкость между выводами R и S устройства Q1;

QRS(QI) - заряд емкости между выводами R и S устройства Q1;

R(R1) - сопротивление резистора R1;

C(X1) - емкость конденсатора или диода Х1;

Q(X1) - заряд конденсатора или диода Х1;

L(Х1) - индуктивность катушки индуктивности или сердечника Х1;

X(X1) - магнитный поток в катушке индуктивности или сердечнике Х1;

B(L1) - магнитная индукция сердечника L1;

H(L1) - напряженность магнитного поля в сердечнике L1;

T – время;

F – частота;

S - комплексная частота, равная 2πFj.

В этом перечне символы А и В обозначают номера узлов схемы, D1 -

имя компонента с двумя выводами или управляемого источника, Q1 - имя

любого активного устройства или линии передачи. Символы R и S заме-

няются аббревиатурами выводов устройств согласно табл. 2.9.

Таблица 2.9.

Аббревиатуры выводов некоторых элементов

Устройство Аббревиатуры выводов

Названия выводов

МОП-транзистор (MOSfets) D, G, S, В Сток, затвор, исток, под-Полевой транзистор (Jfets) D.G.S Сток, затвор, исток Биполярный транзистор В, Е, С База, эмиттер, коллектор

Например, следующие выражения означают: I(R1) — ток через рези-

стор R1; R(Rload) — сопротивление резистора RIoad; IC(VT1) — ток кол-

лектора биполярного транзистора VT1; VBE(Q1) - напряжение между ба-

зой и эмиттером биполярного транзистора Q1.

2.1.4.3. Математические выражения и функции

78

Page 79: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

В сложных текстовых переменных директивы .DEFINE и при указа-

нии переменных, выводимых на графиках при проведении моделирования,

можно использовать следующие математические операции: +,-,*,/,

MOD — остаток после целочисленного деления;

DIV — целочисленное деление;

тригонометрические функции от действительных и комплексных величин

(х — действительная, z — комплексная величина):

Ехр(х), Ln(.x), Log(x), Sin(х), Cos{x}, Тап(х), Asinfx) , Acos(x) , Atn(x);

и прочие функции от действительных и комплексных величин:

Abs(z) - абсолютное значение;

Sqrt(z) - корень квадратный из модуля z;

Sgn(x) - знак числа;

POW(z.x) степень zx=ex*ln(z);

SUM(u,t) - текущий интеграл от переменной u по переменной t;

RMS(u) - текущее среднеквадратичное отклонение переменной u при ин-

тегрировании по времени;

AVG(u) - текущее среднее значение переменной u;

DEL(u) - приращение процесса u относительно предыдущей точки при

расчете переходных процессов (производная рассчитывается как отноше-

ние двух таких операторов, например, du/dt = DEL(u)/DEL(t));

DB(z) - величина в децибелах, равная 20*lg(|z|);

RE(z) - действительная часть z;

IM(z) - мнимая часть z;

PH(z) - фаза z в град.

2.1.4.4. Параметры моделей.

Параметры моделей компонентов можно вывести в текстовой форме

или на графики, используя ссылки на них в виде:

<Позиционное обозначение компонента>.< имя параметра>. Пример:

Q1.BF — коэффициент усиления тока BF биполярного транзистора Q1.

79

Page 80: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

В процессе моделирования параметры моделей компонентов не изме-

няются.

2.1.4.5. Правила использования выражений и переменных

1. Все параметры компонентов могут быть функцией времени Т (при ана-

лизе переходных процессов), температуры TEMP, комплексной перемен-

ной s (при анализе частотных характеристик) , произвольных напряжений

и токов. Примеры:

exp(-T/.5)'sin(2*PI*10*T) - функциональный источник затухающего

гармонического сигнала с частотой 10 Гц;

5*(T>10ns AND T<20ns) - одиночный импульс с амплитудой 5 В на

интервале времени 10...20 нс.

2. Значения операторов отношения и булевых операторов равно 1.0, если

они истинны, и 0.0, если они ложны.

3. Операторы AVG, DEL, RMS и SUM могут использоваться только при

выводе данных и не могут использоваться в выражениях для параметров.

4. В АС- анализе все промежуточные вычисления выполняются с ком-

плексными величинами. Однако при построении графиков указание имени

переменной означает построение графика ее модуля. Например, специфи-

кация выражения V(1)*V(2) приведет к построению модуля произведения

двух комплексных напряжений. Для вывода мнимой части произведения

используется запись IM(V(1)*V(2)), действительной части RE(V(1)*V(2)).

5. При моделировании в режимах АС и DC значение переменной Т полага-

ется равной нулю. При расчете переходных процессов и в режиме DC рав-

ной нулю полагается переменная F.

6. Комплексные величины можно использовать только в функциях: +, -, ",

/, sqrt, pow, In, log, exp, cosh, sinh, tanh, coth. В функциях другого типа ком-

плексные величины заменяются их действительными частями.

7. Перед выполнением моделирования или составлением списка элек-

трических соединений программа МicroCAP вычисляет значения всех опе-

80

Page 81: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

раторов .DEFINE. В связи с этим применение этих операторов внутри

оператора .MODEL может привести к ошибкам и недопустимо! В этих

целях можно использовать идентификатор промежуточной переменной.

.define VALUE 111

.model 01 NPN (BF= VALUE ...)

Тогда после подстановки оператор .MODEL приобретет правильный вид:

.model Q1 NPN(BF=111 ...)

2.1.5. Текстовые директивы

Директивы программы МicroCAP представляют собой текстовые вы-

ражения, начинающиеся с точки. При графическом вводе схем они поме-

щаются в окне текста или непосредственно в окне схем, при текстовом

вводе в формате SPICE - в текстовом файле. В связи с этим МicroCAP име-

ет два набора директив: один для графического ввода схем, второй для

текстовых файлов в формате SPICE.

Таблица 2.10.

Перечень всех директив программы МicroCAP5:

Формат SPICE Схемный ввод.AC .DEFINE.DC .IС.END .ENDS.ENDS .INCLUDE (.INC),IС .LIB.INCLUDE (.INC)LIB .NODESET.MODEL .MACRO.NOOESET .MODEL.NOISE .OPTIONS,OP .PARAMETERS.OPTIONS (.OPT) .SUBCKT (только в окне тек-.PLOT.PRINT.SUBGKT.TEMP.TRAN

Все директивы SPICE и большинство директив, помещаемых на схе-

мах, совпадают с директивами известной программы PSpice, исключение

81

Page 82: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

составляют лишь директивы .DEFINE, .MACRO и .PARAMETERS. Приве-

дем описания некоторых директив.

.DEFINE — присвоение значений идентификаторам переменных

Формат (только для ввода схем):

.DEFINE <текст1> <текст2> Выполняется замена простой текстовой пере-

менной <текст1> сложной текстовой переменной <.текст2>. Символ «+»

используется при вводе выражений, превышающих допустимую длину

строки.

Приведем пример:

.DEFINE Q1 КТ312А — позиционное обозначение транзистора Q1

при моделировании заменяется типом транзистора КТ312А;

.IС — задание начальных условий. Формат:

.IС <V(аналоговый узел)=аналоговое_значение>* <D<цифровой

узел>=логическое_значение>* Примечание: символ «*» означает повторе-

ние величин, заключенных в скобки.

При использовании директивы .IС нужно иметь в виду следующее:

если выполняется расчет переходных процессов при включенной опции

Operating Point или расчет в режиме АС, то предварительно всегда выпол-

няется расчет режима по постоянному току. При этом расчете фиксируют-

ся напряжения, установленные в директиве .IС;

Примеры:.IС V(4)=1.58 V(12)=0.31 .IС V(16)= -1 D(CLOCK)=X

.NODESET - задание начальных значений узловых потенциалов аналого-

вых узлов и отческих значений цифровых узлов для расчета переходных

процессов, режимов DC и АС. Значений этих потенциалов поддерживают-

ся на заданном уровне при расчете режима по постоянному току с помо-

щью подключения фиктивных источников напряжения. Далее при расчете

переходных процессов эти источники отключаются. Если одновременно

имеются директивы .IС и .NODESET, то начальные значения устанавли-

ваются согласно директиве .IС, директива .NODESET игнорируется.

82

Page 83: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Заметим, что использовать директиву .IС для задания напряжения на ин-

дуктивности или источнике переменного сигнала бессмысленно, так как

при Т=0 они полагаются равными нулю.

.MODEL — описание модели компонента. Формат:

.MODEL <имя модели>[АКО:<имя модели прототипа>]<имя типа модели>

+ ([[<имя п-ра>=<знач.>] [LOТ=<разброс 1>[%]][DEV=<paзбpoc2> [%]]]*

+ [Т_АВS>=<значение>] или [T_REL_GLOBAL=<3нaчeниe>] или

+ [T_REL_LOCAL=<значение>])

Здесь <имя модели> — имя модели компонента схемы, назначай

пользователем произвольно, например RLOAD, KT315V, D104. После

ключевого слова АКО (A Kind Of) помещается ссылка на имя модели про-

тотипа, что позволяет указывать только значения различающихся парамет-

ров. Тип компонента определяется <именем типа модели>.

Таблица 2.11.

Тип компонентов

Имя типа модели Тип компонентаАналоговые компоненты

RES Резистор CAP КонденсаторCORE Магнитный сердечник трансформатораIND ИндуктивностьD ДиодGASFET Арсенид-галлиевый полевой транз. с каналом п-типаNPN Биполярный n-p-n - транзистор PNP Биполярный p-n-р - транзисторLPNP Боковой биполярный р-п-р - транзистор NJF Полевой транзистор с каналом п-типаPJF Полевой транзистор с каналом р-типаNMOS МОП - транзистор с каналом п-типаPMOS МОП - транзистор с каналом р-типаTRN Линия передачиVSWITCH Ключ, управляемый напряжениемISWITCH Ключ, управляемый токомOPA Операционный усилительPUL Источник импульсного сигналаSIN Источник синусоидального сигнала

83

Page 84: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

продолжение таблицы 2.11.

Устройства интерфейса DlNPUT Аналого-цифровой интерфейсDOUTPUT Цифроаналоговый интерфейс

Цифровые устройства UADC Аналого-цифровой преобразовательUDAC Цифроаналоговый преобразовательUIO Модель входа/выхода цифрового устройстваUGATE Стандартный вентильUTGATE Вентиль с тремя состояниямиUBTG Двунаправленный переключающий вентильUEFF Триггер с динамическим управлениемUGFF Триггер с потенциальным управлениемUDLY Цифровая линия задержкиUPLD Программируемые логические матрицы

В директиве .MODEL в круглых скобках указывается список значений

параметров модели компонента (если этот список отсутствует или не пол-

ный, то недостающие значения параметров модели назначаются по умол-

чанию). Пример этой директивы:

.MODEL KT315A NPN(IS=1E-11 BF=50 DEV=5% LOT=20%)

Температура, при которой измерены параметры компонентов, указы-

вается по директиве .OPTIONS TNOM. В ее отсутствие она принимается

равной значению параметра TNOM, устанавливаемому в окне Global

Settings (пo умолчанию 27° С). Анализ выполняется при температуре, на-

зываемой глобальной и устанавливаемой по директиве .TEMP (в ее отсут-

ствие она равна значению параметра TNOM). Кроме того, можно устано-

вить физическую температуру каждого компонента, заменяя глобальную

температуру с помощью одного из параметров:

1. значение абсолютной температуры устройства T_ABS;

2. относительную температуру T_REL_GLOBAL, так что абсолютная тем-

пература равна глобальной температуре плюс значение параметра

T_REL_GLOBAL;

84

Page 85: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3. относительную температуру T_REL_LOCAL, так что абсолютная темпе-

ратура данного устройства равна абсолютной температуре устройства -

прототипа (модель АСО) плюс значение параметра T_REL_LOCAL.

.SUBCKT — описание макромодели. Формат (для файлов SPICE или вво-

да в текстовом окне схем):

.SUBCKT <имя макромодели> <список узлов>

+ [OPTIONAL: <<узел интерфейса>=<значение по умолчанию>>*]

+ [РАРАМS: <<имя параметра>=<значение по умолчанию>>*]

+ [ТЕХТ:<<имя текстовой переменной>=<текст по умолчанию>>*]

[описание компонентов]

.ENDS [имя макромодели]

Отдельные фрагменты цепи или схемы замещения компонентов имеет

смысл оформлять в виде макромоделей. Описание макромодели начинает-

ся директивой .SUBCKT и заканчивается директивой .ENDS. Между ними

помещаются описания компонентов, входящих в состав макромодели.

Ключевое слово OPTIONAL используется для спецификации одного или

более необязательных узлов макромодели - указываются имя узла и его

значение по умолчанию. Если при вызове макромодели эти узлы не указы-

ваются, используются их значения по умолчанию, это удобно для задания

источников питания. После ключевого слова PARAMS приводится список

параметров, значения которых передаются из основной цепи в макромо-

дель. После ключевого слова TEXT - текстовая переменная, передаваемая

из описания основной цепи в описание макромодели (используется только

при моделировании цифровых устройств).

При использовании графического ввода схем символ макромодели

включается в нужное место схемы как обычный компонент. Включение

макромодели в нужное место цепи при использовании текстового ввода

осуществляется предложением:

Хххх <список узлов> <имя макромодели>

85

Page 86: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

+ [РАRАМS:<<имя параметра> значение>>*]

+ [ТЕХТ:<<имя текста>=<гекст>>*]

Приведем пример текстового ввода схемы, содержащей макромодель

BLOCK.

Х1 8 22 BLOCK

.SUBCKT BLOCK 1 2

R1 1 0 1k

R2 1 2 2k

.ENDS BLOCK

2.2. Сведения о моделировании элементов электрических схем

2.2.1. Общие сведения о моделях компонентов

В программе МicroСAP все компоненты, из которых составляется

электрическая принципиальная схема, имеют математические модели двух

типов:

- встроенные математические модели стандартных компонентов, та-

ких как резисторы, конденсаторы, диоды, транзисторы, независимые и за-

висимые источники сигналов и др., которые не могут быть изменены поль-

зователями (можно только изменять значения их параметров);

- макромодели произвольных компонентов, составляемые пользовате-

лями по своему усмотрению из стандартных компонентов.

В свою очередь встроенные модели подразделяются на две категории:

- простые модели, характеризуемые малым количеством параметров,

которые можно указать непосредственно на схеме в виде атрибутов (на-

пример, модель резистора описывается одним - тремя параметрами, при-

чем часть их можно сделать на схеме невидимыми, чтобы не загромождать

чертеж);

86

Page 87: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- сложные модели, характеризуемые большим количеством парамет-

ров, которые заносятся в библиотеки моделей (например, модель биполяр-

ного транзистора характеризуется 52 параметрами).

В программе МicroСAP используется двоякое описание моделируемо-

го устройства: в виде чертежа его принципиальной электрической или

функциональной схемы или в виде текстового описания в формате SРIСЕ.

Кроме того, при составлении принципиальной схемы часть моделей ком-

понентов задается в виде их атрибутов и указывается непосредственно на

схеме - такие модели будем называть моделями в формате схем. Осталь-

ные модели задаются в текстовом окне с помощью директив .МODЕL и

.SUBСКТ по правилам SРIСЕ. В программе МicroСAP модели всех полу-

проводниковых приборов, операционных усилителей, магнитных сердеч-

ников, линий передачи и компонентов цифровых устройств имеют формат

SРIСЕ.

2.2.2. Пассивные компоненты (Passive components)

В разделе «Passive components» меню компонентов включены рези-

сторы, конденсаторы, индуктивности, линии передачи, высокочастотные

трансформаторы, взаимные индуктивности, диоды с p-n переходом и ста-

билитроны.

Значения сопротивлений, емкостей и индуктивностей могут быть чис-

лом или выражением, зависящим от времени, узловых потенциалов, разно-

сти узловых потенциалов или токов ветвей, температуры и т.д.

Резистор (Resistor)

Формат SPICE:

Rxxx <+узеп> <-узеп> [имя модели] <значение> [ТС=<ТС1>[,<ТС2>]]

Здесь ххх - произвольная алфавитно-цифровая последовательность

общей длиной не более 7 символов, которая пишется слитно с символом R

и вместе с ним образует имя компонента.

87

Page 88: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Например: RGEN 1 2 2.4Е4

R1 3 0 RTEMP 5K

.MODEL RTEMP RES (R=3 DEV=5% TC1 =0.01)

Формат схем:

Атрибут PART: <имя>

Атрибут VALUE: <значение> [ТС=<ТС1>[,<ТС2>]]

Атрибут MODEL: [имя модели]

Сопротивление резистора, определяемое параметром <значение>, мо-

жет быть числом или выражением, включающим в себя изменяющиеся во

времени переменные, например 100+V(10)*2. Эти выражения можно ис-

пользовать только при анализе переходных процессов. В режиме АС эти

выражения вычисляются для значений переменных в режиме по постоян-

ному току. В формате SPICE применение таких выражений недопустимо.

Параметры, описывающие модель резистора, приведены в табл. 2.12.

Таблица 2.12.

Параметры модели резистора

Обозначение Параметр Раз-мерн.

Зн. по умол.

R Масштабный множитель сопротивления

1

ТС1 ТС2 ТСЕ

Линейный, квадратичный и экспоненциальный тем-пературные коэффициенты сопротивления

0С -1

0С -2

%0С

0 0 0

Т_MEASURED Температура измерения 0С Т ABS Абсолютная температура 0СT_REL_GLOBAL Относительная темп. 0С T_REL_LOCAL Разность между темпера-

турой устройства и моде-ли-прототипа

Последние четыре параметра используются и в остальных моделях

элементов.

88

Page 89: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Если в описании резистора <имя модели> опущено, то его сопротив-

ление равно параметру <сопротивление> в Омах. Если <имя модели> ука-

зано и в директиве .MODEL отсутствует параметр ТСЕ, то сопротивление

резистора определяется выражением

<значение>*R*[1+ТС1*(Т-Tnom)+TC2*(T-Tnom)2];

еcли параметр ТСЕ указан, то сопротивление равно

<значение>*R*1,01TCE*(T-Tnom)

Здесь Т - текущее значение температуры (указывается по директиве

.TEMP);

Tnom=27°C номинальная температура (указывается в окне Global Set-

tings).

Параметр <значение> может быть как положительным, так и отрица-

тельным, но не равным нулю.

Конденсатор (Capacitor)

Формат SPICE:

Сххх <+узел> <- узел> [имя модели] <значение> [IC=<начальное зна-

чение напряжения>]

Например: С1 15 0 56PF

СЗ 4 6 CMOD 10U

.MODEL CMOD CAP(C=2.5TC1=0.01 VC1-0.2)

В формате схем:

Атрибут VALUE: <значение> [IС=<начальное значение напряжения>]

Емкость конденсатора, определяемая параметром <значение>, может

быть числом или выражением, включающим в себя изменяющиеся во вре-

мени переменные, например 100+V(10)*2. Эти выражения можно исполь-

зовать только при анализе переходных процессов. В режиме АС эти выра-

жения вычисляются для значений переменных в режиме по постоянному

89

Page 90: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

току. В формате SPICE применение таких выражений недопустимо. Пара-

метры модели конденсатора приведены в табл.2.13.

Таблица 2.13.

Параметры модели конденсатора

Обозначение Параметр Раз-мерн.

Зн. по умол.

С Масштабный множитель 1 VC1 VC2 Линейный и квадр. коэф-

фициенты напряжения В-1 В-2

ТС1 ТС2 Линейный и квадр. темпе-ратурный коэфф. емкости

0С-1 0С-2 0

Если в описании конденсатора <имя модели> опущено, то его емкость

равна параметру <значение> в Фарадах, в противном случае она определя-

ется выражением:

<значение>*С*(1 +VC*V+\/C2*V2)*[1 +ТС1*(T-Tnom)+TC2*(T -

Tnom)2].

Здесь V - напряжение на конденсаторе при расчете переходных про-

цессов.

При расчете частотных характеристик (режим АС) емкость считается

постоянной величиной, определяемой в рабочей точке по постоянному то-

ку.

Индуктивность (Inductor)

Формат SPICE:

Lxxx <+узел> <- узел> [имя модели] <значение> [IС=<начальный ток>]

Например: L1 15 0 20МН

L3 4 6 2VH IC=2

LOAD 5 12 LMOD0.03

.MODEL LMOD IND (L=2 DEV=20% IL1=0.1)

В формате схем:

90

Page 91: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Атрибут VALUE: <значение> [IС=<начальный ток>]

Индуктивность, определяемая параметром <значение>, может быть

чистом или выражением, включающим в себя изменяющиеся во времени

переменные. Эти выражения можно использовать только при анализе пе-

реходных процессов. В режиме АС эти выражения вычисляются для зна-

чений переменных в режиме по постоянному току. В формате SPICE при-

менение таких выражений недопустимо. Параметры модели индуктивно-

сти приведены в табл. 2.14.

Таблица 2.14.

Параметры модели индуктивности

Обозначение Параметр Раз- мерн.

Зн. по умол.

L Масшт. множитель индуктивности 1 IС1 IС2 Линейный и квадр. коэфф. тока А-1 А-2 ТС1 Линейный температурный ко-

эффициент индуктивности 0С-1 0

ГС2 Квадратичный температурный коэф-фициент индуктивности

0С-2 0

Если в описании опущено <имя модели>, то индуктивность равна па-

раметру <значение> в Генри, в противном случае она определяется выра-

жением:

<значение>*L*(1+IL1*l+IL2*I2*[1+TC1*(T-Tnon)+TC2*(T-Tnom)2],

Здесь I — ток через катушку индуктивности при расчете переходных

процессов. При расчете частотных характеристик (режим АС) индуктив-

ность считается постоянной величиной, определяемой в рабочей точке по

постоянному току.

После ключевого слова IС указывается значение тока через катушку

индуктивности при расчете режима по постоянному току, которое при рас-

чете переходных процессов служит начальным значением этого тока.

91

Page 92: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Взаимная индуктивность и магнитный сердечник (К).

Формат SPICE:

Кххх Lyyy Lzzz... <коэффициент связи>

Кххх Lууу Lzzz... <коэффициент связи> <имя модели> [«масштабным

коэффициент>]

Например: К1 L1 L2 L3 0,8

К2 L1 L2 0.99 Ti125V

.MODEL Ti125V CORE (LEVEL=2 MS=334E3 ALPHA=2.5E-2

+A=4.05E3 K=166 C=0.05 AREA=O.064 PATH=2.25)

Здесь первый пример описывает связанные индуктивности без сердеч-

ника К1, второй — ферромагнитный сердечник К2, на котором находятся

две катушки индуктивности L1, L2.

В формате схем:

Атрибут INDUCTORS: <имя индуктивности> <имя индуктивности>

Атрибут COUPLING: <коэффициент связи>

Атрибут SIZE: < масштабный коэффициент > — в версии МС5 1.0 не

поддерживается,

Порядок перечисления имен индуктивностей Lyyy, Lzzz ... безразли-

чен, знак взаимной индуктивности определяется порядком перечисления

узлов описании каждой индуктивности. Параметром взаимной индуктив-

ности является <коэффициент связи>. Если в трансформаторе имеется не-

сколько обмоток, то можно либо определить взаимные индуктивности для

каждой попарной комбинации обмоток в отдельных предложениях, либо в

одном предложении указать список всех индуктивностей, имеющих оди-

наковый коэффициент связи. Коэффициент связи двух обмоток определя-

ется выражением

коэффициент связи = Mij /√(Li*Lj),

92

Page 93: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

где Li,Lj — индуктивности обмоток; Mij — их взаимная индуктивность.

Напряжение на катушке Li учетом взаимной индукции определяется выра-

жением Vi =Li*dIi/dt+ Mij*dIj/dt+ Mik*dIk/dt+…

На одном сердечнике помещается одна или несколько обмоток с име-

нами Lyyy, Lzzz... Все обмотки имеют одинаковый <коэффициент связи>.

При описании каждой обмотки Lyyy ..., упомянутой в составе сердечника,

изменяется смысл параметра <значение> — теперь он определяет не ин-

дуктивность, а числo витков обмотки сердечника. Параметр <масштабный

коэффициент> изменяет площадь поперечного сечения магнитопровода

(по умолчанию равен единице). Она равна произведению этого коэффици-

ента на параметр модели сердечника AREA. Параметры модели магнитно-

го сердечника приведены в табл. 2.15.

Таблица 2.15.

Параметры модели магнитного сердечника

Имя пара-метра

Параметр Раз-мерн.

Зн. по умол.

AREA Площадь поперечного сечения магни- см2 1 PATH Ср. длина магнитной силовой линии см 1 GAP Ширина воздушного зазора см 0 PACK Коэффициент заполнения сердечника 1 MS Намагниченность насыщения А/м 4*105 A Параметр формы безгистерезисной кри-

вой намагничивания А/м 25

С Постоянная упругого смещения домен-ных границ

0,001

К Постоянная подвижности доменов А/м 25 ALPHA Параметр магнитной связи доменов 2*10-5

Трансформатор (Transformer)

В формате схем:

93

Page 94: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Атрибут VALUE: индуктивность первичной обмотки>, <индуктив-

ность вторичной обмотки>,<коэффициент связи>

В программе МicroСAP имеется модель идеального двухобмоточного

трансформатора без потерь, в качестве параметров которого задаются зна-

чения индуктивностей обмоток и коэффициент связи, например:

0.01uH, 0.5uH, 0.98.

Диод (Diode) и стабилитрон (Zener)

Формат SPICE:

Dххх <узел анода> <узел катода> <имя модели> [Area] [OFF] [IC-<Vd>]

Примеры: D1 1 2 D9B

D2 7 6 D220A 1.0 OFF 10=0.001

В формате схем:

Атрибут VALUE: [Area] [OFF] [IC=< Vd>] ;

Параметр Area задает коэффициент кратности для учета подключения

нескольких параллельных диодов (параметры модели диода умножаются

или делится на эту величину). Параметр IС задает начальное напряжение

на диоде Vd ври расчете переходных процессов, если на панели Transient

Analysis Limits выключена опция Operating Point (аналог параметра UlC

программы PSpice). Включение ключевого слова OFF исключает диод из

схемы при проведении первой итерации расчета режима по постоянному

току.

Модель диода задается директивой

.MODEL <имя модели> D[(параметры модели)]

Пример модели диода Д104А:

.model D104A D (IS=5.81E-12 RS=8.1 N=1.15 TT=8.28NS CJO=41.2PF

+ VJ=0.71 M=0.33 FC=0.5 EG=1.11 XT1=3)

Математическая модель диода задается параметрами, перечисленны-

ми в табл. 2.16.

94

Page 95: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Таблица 2.16.

Параметры модели диода

Имя параметра Параметр Зн. по умолч.

Ед. изм.

Level Тип модели: 1-SPICE2G, 2- PSpice IS Ток насыщения при темп. 27°С 10-14 А RS Объемное сопротивление О Ом N Коэффициент инжекции 1 ISR Параметр тока рекомбинации О А NR Коэффициент эмиссии для тока ISR 2 IKF Предельный ток при высоком уровне

инжекции ∞ А

TT Время переноса заряда 0 с CJO Барьерная емк. при нулевом смещ. 0 Ф VJ Контактная разность потенциалов 1 В M Коэффициент лавинного умножения 0,5 EG Ширина запрещенной зоны 1,11 эВ FC Коэфф. нелинейности барьерной ем-

кости прямосмещенного перехода 0,5

BV Обратное напряжение пробоя ∞ В IBV Начальный ток пробоя, соот-

ветствующий напряжению BV 10-10 А

NBV Коэффициент неидеальности на уча-стке пробоя

1

IBVL Начальн. ток пробоя низкого уровня 0 А NBVL Коэффициент неидеапьности

на участке пробоя низкого уровня 1

XT1 Темп. коэфф. тока насыщения 3 TIKF Линейный темп. коэффициент IKF О оС-1 TBV1 Линейный температурный коэфф. BVО оС-1 TBV2 Квадратичный темп. коэфф. BV О оС-2 TRS1 Линейный температурный коэфф. RS О оС-1 TRS2 Квадратичный темп. коэфф. RS О С-2 KF Коэффициент фпиккер-шума О AF Показатель степени в формуле флик-

кер-шума 1

RL Сопротивление утечки ∞ Ом

о

95

Page 96: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Указанные параметры модели используются для аппроксимации ВАХ

и других параметров диода. Ток диода определяется разностью токов, ап-

проксимирующих прямую и обратную ветви ВАХ

I =Ifwd - Irev

Ifwd = In*Kinj + Irec*Kgen, где :

In — нормальная составляющая тока,

In=IS*{exp[V/(NR*Vt)]-1},

Irec—-ток рекомбинации,

Irec=ISR{exp[V/(NR*Vt)]-l};

Кinj —коэффициент инжекции,

Kinj= √ (IKF/(IKF+In)) пpи IKF>O;

Kinj= 1 при IKF<=O;

Кgen— коэффициент генерации,

Kgen=[(l—V/VJ)2+0,005]M/2.

Ток Irev, аппроксимирующий обратную ветвь ВАХ диода, имеет две

составляющие:

Irev = Irev.high + Irev.low, где:

Irev.high=IBV*exp[-(V+BV)/(NBV*Vt)];

Irev.low=IBVL*exp[-(V+BV)/(NBVL*Vt)];

Vt=k*T/q —температурный потенциал перехода (0,026 В при но-

минальной температуре 27°С);

k=1,38*10-23 Дж/град — постоянная Больцмана;

q=1.6* 10-19 Кл — заряд электрона;

Т — абсолютная температура р-п перехода;

ЕG — ширина запрещенной зоны (1,11 эВ для кремния; 0,67 эВ для

германия; 0,69 эВ для диодов с барьером Шотки).

Емкость перехода С.

С=Сt+Сj, где:

96

Page 97: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Сt—диффул1гоипая емкость перехода, Сt=ТТ*G; Сj—барьерная ем-

кость перехода,

Сj= CJO*(1-V/VJ)-M, npи V<=FC*VJ

Cj= CJO*(1-FC)-(1+M)[1-FC*(1+M)+M*V/VJ] при V>FC*VJ:

G=d*(Kinj*I)/(d*V) — дифференциальная проводимость перехода для

текущих значений I и V.

В линеаризованной схеме замещения диода имеются следующие ис-

точники шума: объемное сопротивление RS, характеризующееся тепловым

током IшRS со спектральной плотностью SRS=4k*Т/RS; дробовой и фликкер-

шум диода, характеризующийся током Iшd со спектральной плотностью

Sd=2*q*I+КF*IAF/f, где f— текущая частота.

Температурные зависимости параметров. В математической модели

диода они учитываются следующим образом:

IS(T)=IS*exp[EG(T)/(N*Vt(T))*(T/T0-1)]*(T/T0)XT1/N

ISR(T)= IS*exp[EG(T)/(N*Vt*(T))*(T/TO-1)]*(T/TO) XT1/N

IKF(T)=IKF*[1+TIKF*(T-TO)];

BV(T) = BV*[ 1 +TBV1 *(T-TO) + TBV2 *(T-TO)2];

RS (T) = RS*[ 1 + TRS1 *(T-TO) +TRS2 *(T-TO)2) ];

VJ(T)=VJ*T/TO-3*Vt*(T)*ln(T/TO)-EG*T/TO+EG(T);

CJO(T)=CJO*{1+M)[0,0004*(T-TO)+1-VJ(T)/VJ]};

KF(T)=KF*VJ(T)/VJ* AF(T) =AF*VJ (T)/VJ:

EG(T)=EG0-a*T2/(b+T),

где значения параметров IS, Vt, VJ, СJ0, КF, АF, ЕG берутся для но-

минальной температуры ТО; для кремния ЕGо=1.16эВ, а= 0,000702,

Ь=1108; ХТ1=3 для диодов с р-п переходом и ХТ1=2 для диодов Шоттки.

97

Page 98: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2.2.3. Источники сигналов (WaveformSources)

Стандартные сигналы

В программе MicroCAP для стандартных сигналов имеется несколько

стандартных моделей, список которых пользователь не имеет возможности

пополнять, их можно только редактировать: источник питания (Battery) и

приведенные в табл. 2.17 источники импульсных и гармонических сигна-

лов.

Таблица 2.17.

Стандартные модели источников сигналов

Sin source (гарм. сигналы) Pulse source (импульсные сигналы) 1MHZ—1 МГц, 1В IMPULSE — дельта-функция 3PHASEA-трехфазные сигналы PULSE- амплитуда 5В, период 1мкс 3PHASEB SAWTOOTH — треугольный имп. 3PHASEC SQUARE — меандр 60HZ — частота 60 Гц, амплиту-да 120В

TRIANGLE — равносторонний тре-угольный импульс

GENERAL — частота 10 МГц,Кроме того, имеются независимые источники напряжения (V) и тока

(I) стандартного вида, которые в формате SPICE описываются следующим

образом:

Vxxx <+узел><-узел>[[DC]<значение>]+[AC<модуль>[<фаза>]],

Ixxx <+узел><-узел>[[DC]<значение>]+[AC<модуль>[<фаза>]].

Источники могут использоваться во всех видах анализа. По умолча-

нию все параметры принимают нулевые значения. Параметр DC определя-

ет постоянную составляющую источника напряжения или тока. Для режи-

ма АС задаются модуль и фаза (в градусах) источника гармонического

сигнала.

При анализе переходных процессов используются источники сигналов

заданной формы, например, импульсная функция (рис. 2.1)

98

Page 99: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Рисунок 2.1. Импульсная функция

задается списком параметров:

PULSE(y1 y2 td tr tf τ T),

где: y1 y2 – начальное и максимальное значение напряжения;

td – начало переднего фронта;

tr tf – длительности переднего и заднего фронтов;

τ - длительность плоской части импульса;

T – период повторения.

Пример задания директивы:

.MODEL PULSE PUL (VZERO=0 VONE=1 p1=0 p2=5n p3=9n p4=10n

p5=20n )

Зависимые источники сигналов

Зависимые источники напряжения и тока могут быть как линейными,

так и нелинейными. Существует четыре их разновидности:

v = e(v) - источник напряжения, управляемый напряжением (ИНУН);

i = f(i) - источник тока, управляемый током (ИТУТ);

i = g(v) - источник тока, управляемый напряжением (ИТУН);

v = h(i) - источник напряжения, управляемый током (ИНУТ).

Линейные управляемые источники описываются зависимостями v=e-

v, i=f-i, i=g-v, v=h-i, где e,f,g и h- коэффициенты передачи.

1. Источник напряжения, управляемый напряжением (ИНУН),

задается предложением:

99

Page 100: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Еххх <+узел><-узел><+управляющий узел ><- управляющий узел

><коэффициент передачи>

2. Источник тока, управляемый током (ИТУТ), задается следую-

щим образом:

Еххх <+узел><-узел><имя управляющего источника напряже-

ния><коэффициент передачи>

Управляющим током служит ток независимого источника напряже-

ния.

3. Источник тока, управляемый напряжением (ИТУН), задается

предложениями:

Gххх <+узел><-узел><+управляющий узел ><- управляющий узел

><коэффициент передачи>

4. Источник напряжения, управляемый током (ИНУТ), задается

следующим образом:

Hххх <+узел><-узел><имя управляющего источника напряже-

ния><коэффициент передачи>

В описаниях линейных управляемых источников не допускается ис-

пользование параметров и функций.

2.2.4. Активные компоненты (Active components)

В программе МicroСAP используются те же математические модели

полупроводниковых приборов, что и в программе PSpice с отличиями в

малозначительных деталях.

!!! Параметры математических моделей транзисторов и операционных

усилителей изучить самостоятельно!

Биполярные транзисторы (Bipolar transistor)

Формат SPICE:

Qxxx <узел коллектора> <узел 6азы> <узел эмиттера> [<узел подлож-

ки>] <имя модвли> [Area] [OFF] [IC=< Vbe>[, Vce]]

100

Page 101: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Формат схем:

Атрибут PART: <имя>

Атрибут VALUE: [Area] [OFF] [IC=<Vbe>[,Vce]]

Атрибут MODEL: [имя модели]

2.3. Лабораторный практикум по моделированию схем

Цели практикума:

- Научиться использовать основные элементы графического редактора

программы Micro-CAP для ввода и модификации электрических принци-

пиальных схем. Научиться пользоваться основными моделями компонен-

тов программы Micro-CAP, редактировать их параметры, пользоваться

библиотекой. Эти знания контролируюся на этапе допуска к работе.

- Освоить системы вывода результатов моделирования и овладеть на-

выками анализа переходных процессов в электрических схемах, навыками

расчета частотных характеристик и передаточных функций схем, в том

числе - многовариантный анализ. После выполнения практикума студент

оформляет отчет.

2.3.1. Анализ переходных процессов (Transient Analysis)

После перехода в режим анализа переходных процессов программа

Micro-CAP при отсутствии ошибок в схеме открывает окно задания пара-

метров моделирования Transient Analysis Limits (рис.2.2).

101

Page 102: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Рисунок 2.2. Окно параметров Transient Analysis.

Задание параметров моделирования Transient Analysis Limits

В окне задания параметров расчета переходных процессов имеются

следующие разделы.

Команды:

Run - начало моделирования. Щелчок на пиктограмме в строке ин-

струментов или нажатие F2 начинает моделирование. Моделирование мо-

жет быть остановлено нажатием на пиктограмму или клавишу Esc.

Add/Delete – добавление/удаление одной строки вывода результатов.

На строке спецификации устанавливается способ отображения результатов

и аналитические выражения для построения графиков.

Expand - открытие дополнительного окна для ввода большого текста.

Stepping - открытие диалогового окна задания вариации параметров;

Числовые параметры:

Time Range - спецификация конечного и начального времени расчета

переходных процессов по формату Ттах[, Tmin]; no умолчанию назначает-

ся Tmin=0 (отрицательные значения моментов времени недопустимы).

Maximuт Time Step - максимальный шаг интегрирования. Расчет пере-

ходных процессов ведется с выбираемым автоматически шагом, величина

которого определяется допустимой относительной ошибкой RELTOL. Ес-

102

Page 103: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

ли этот параметр не задан, то максимальный шаг интегрирования полага-

ется равным (Tmax-Tmin)/50:

Number of Points - количество строк в таблице вывода результатов; по

умолчанию принимается 51, минимальное значение - 6.

Temperature - диапазон изменения температуры; в формате

High[,Lo[,Step]] (температура указывается в градусах Цельсия). При изме-

нении температуры изменяются параметры компонентов, имеющие нену-

левой коэффициент ТС, а также ряд параметров полупроводниковых при-

боров. Значение установленной здесь температуры может использоваться в

различных выражениях, она обозначается как переменная TEMP.

Ниже раздела "Числовые параметры" и слева от раздела «Выражения»

расположена группа пиктограмм, определяющих характер вывода данных,

задаваемых в той же строке. Имеются следующие возможности:

Х Log/Linear Scale — переключение между логарифмической и

линейной шкалой по оси X. При выборе логарифмической шкалы диапазон

изменения переменной должен быть положительным;

У Log/Linear Scale — переключение между логарифмической и

линейной шкалой по оси У. При выборе логарифмической шкалы диапазон

изменения переменной должен быть положительным;

Color— вызов меню для выбора одного из 16 цветов окрашивания

графиков. Кнопка окрашивается в выбранный цвет;

Numeric Output — при нажатии этой кнопки в текстовый выходной

файл заносится таблица отсчетов функции, заданной в графе Y Expression,

Запись производится в файл "<имя cхемы>.TNO". Таблица просматривает-

ся в окне Numeric Output (открывается нажатием клавиши F5). Количест-

во отсчетов функции (число строк в таблице) задается параметром Number

of Points в разделе Числовые параметры;

103

Page 104: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

User File — при нажатии этой кнопки на диске создается файл

"<имя cxeмы>.USR", в который заносятся отсчеты функции, заданной в

графе Y Expression;

Monte Carlo— выбор функции, для которой производится стати-

стический анализ по методу Монте-Карло;

Plot Group— в графе Р числом от 1 до 9 указывается номер графиче-

ского окна, в котором должна быть построена данная функция. Все функ-

ции, помеченные одним и тем же номером, выводятся в одном окне.

Выражения:

Х Expression — имя переменной, откладываемой по оси X. По умолча-

нию при анализе переходных процессов по этой оси откладывается время -

T, а при частотном анализе – частота - F;

Y Expression — математическое выражение для переменной, отклады-

ваемой по оси У. Это может быть простая переменная, или математическое

выражение;

X Range— максимальное и минимальное значение переменной Х на

графике по формату High[,Low]. Если минимальное значение Low равно

нулю, его можно не указывать. Для автоматического выбора диапазона пе-

ременных в этой графе указывается Auto. В этом случае сначала выполня-

ется моделирование, в процессе которого графики строятся в стандартном

масштабе и затем автоматически перестраиваются;

Y Range— максимальное и минимальное значение переменной Y на

графике; нулевое значение можно не указывать. Для автоматического вы-

бора диапазона переменных в этой графе указывается Auto;

Fmt— формат представления числовых данных при построении таб-

лиц, при выводе текущего значения переменных Х и Y при нажатии кла-

виши Р и при работе с электронной лупой (режим Mode-Cursor меню

Options, включается нажатием клавиши F8 или пиктограммы ). Числа

представляются в двух форматах: инженерная и научная нотации, В инже-

104

Page 105: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

нерной нотации в графе Fmt используется формат с десятичной точкой,

например 15.31. В научной нотации используется формат RЕ, где R указы-

вает число знаков справа от десятичной точки, например ЗЕ или 5е; при

этом количество знаков слева от десятичной точки всегда полагается рав-

ным единице.

Опции:

Run Options — управление выдачей результатов расчетов:

Normal - результаты расчетов не сохраняются,

Save - сохранение результатов расчетов в файле <имя схемы>.TSА,

Retrieve - считывание последних результатов расчета из дискового файла

<имя схемы>.ТSА, созданного ранее;

Stale Variables — установка начальных условий:

Zero - установка нулевых начальных условий,

Read - чтение начальных условий из бинарного дискового файла <имя

схемы>.ТОР, созданного с помощью Stale Variables Editor, пеpeд каждым

вариантом расчета,

Leave - установка в качестве начальных условий значений, получен-

ных при окончании расчета предыдущего варианта;

Operation Point — включение режима расчета по постоянному току

перед началом каждого расчета переходных процессов. Данные этого ре-

жима заменяют значения всех начальных условий;

Operation Point Only — расчет только режима по постоянному току

(расчет переходных процессов не производится);

Auto Scale Ranges — присвоение признака автоматического масшта-

бирования "Auto" no осям X, Y для каждого нового варианта расчетов.

Использование клавиши Р:

После выполнения команды Run начинается моделирование и в про-

цессе получения результатов на экран выводятся их графики. После нажа-

тия клавиши Р в нижней части графического окна справа от обозначения

105

Page 106: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

каждой переменной выводятся ее текущие численные значения. Однако

моделирование при этом значительно замедляется, поэтому после про-

смотра интересующего фрагмента данных следует выключить этот режим

повторным нажатием клавиши Р.

Меню режимов расчета переходных процессов Transient:

После перехода в режим расчета переходных процессов меняется со-

став меню команд.

Run (F2) — выполнение моделирования;

Limits (F9) — задание пределов моделирования и построения;

Stepping (F11) — вариация параметров;

Analysis Plot (F4) — открытие графического окна результатов мо

делирования:

-

Numeric output (F5) — вывод на экран численных результатов;

State Variable Editor (F12) — вызов редактора значений

переменных состояния;

DSP — открытие диалогового окна DSP (цифровая обработка сигна-

лов), в котором задаются границы интервала времени для расчета спек-

тральных плотностей;

Exit Analysis (F3) — завершение режима анализа.

Задание начальных значений.

Перед первым расчетом переходных процессов какой-либо схемы,

если не используется редактор State Variables Editor, все переменные со-

стояния полагаются равными нулю, а состоянию цифровых узлов присваи-

вается состояние неопределенности "X".

При последующих расчетах той же схемы, выполняемых нажатием

клавиши F2 или кнопки Run, начальные условия устанавливаются в соот-

ветствии и значениями параметра State Variables, установленного в окне

Analysis Limits.

106

Page 107: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

2.3.2. Расчет частотных характеристик (AC Analysis)

После перехода в режим анализа частотных характеристик при отсут-

ствии ошибок в схеме программа открывает окно задания параметров мо-

делирования AC Analysis Limits (рис.2.3).

Рисунок 2.3. Окно параметров AC Analysis.

Для выполнения этого вида анализа к входу схемы должен быть под-

ключен источник синусоидального SIN, импульсного PULSE или сигнала,

определенного пользователем USER, или источник напряжения V или тока

I в формате SPICE. При расчете частотных характеристик комплексная

амплитуда этого сигнала полагается равной 1В, начальная фаза нулевая, а

частота меняется в пределах, задаваемых в меню AC Analysis Limits. Если

источников сигнала несколько, то отклики от каждого сигнала будут скла-

дываться.

Задание параметров моделирования

Команды, опции, числовые параметры и вывод результатов в этом ок-

не во многом аналогичны приведенным для окна анализа переходных про-

цессов. Далее приведены только разделы этого окна, отличающиеся от

приведенных в разделе анализа переходных процессов.

Числовые параметры:

107

Page 108: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Friqenсу Range — спецификация конечной и начальной частоты по

формату Fmax.Fmin. Отрицательные значения частоты не допускаются.

Если значение Fmin не указано, то расчет не производится;

Number of Points — количество точек по частоте, в которых произво-

дится расчет частотных характеристик. Минимальное значение равно 5. В

связи с тем, что в режиме АС не производится интерполяции, в таблицы и

на графики выводятся все данные, полученные при расчете. Значения час-

тот, на которых производится расчет характеристик, зависит от парамет-

ров, установленных в разделе "Опции": Auto, Fixed Linear, Fixed Log. В

режиме Auto параметр Number of Points во внимание не принимается и

количество точек определяется величиной Maximum Change. Если принят

линейный шаг (Fixed Linear), то шаг приращения частоты равен:

Fk+1-Fk=(Fmax-Fmin)/(Nf--1).

Если принят логарифмический шаг (Fixed Log), то отношение соседних

точек по частоте равно:

Fk+1/Fk=(Fmax/Fmin)1/(Nf-1).

Maximum Change,% — максимально допустимое приращение графика

первой функции на интервале шага по частоте. Принимается во внимание

только при выборе опции Auto. Если график функции изменяется быстрее,

то шаг приращения частоты автоматически уменьшается;

Noise input— имя источника сигнала, подключенного к входным за-

жимам цепи. При указании переменной INOISE в графе Y expression выво-

дится график квадратного корня спектральной плотности напряжения или

тока внутренних шумов цепи, пересчитанной к этим зажимам. Если в каче-

стве источника входного сигнала включается источник напряжения, то на

вход пересчитывается спектральная плотность напряжения, а если ис-

точник тока, то спектральная плотность тока;

Noisе Output— номера выходных узлов цепи, в которых вычисляется

спектральная плотность выходного шума цепи по формату узеп1[,узеп2].

108

Page 109: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Friquency Step — шаг изменения частоты, варианты:

Auto — автоматический выбор шага по частоте, выбираемого на основе

контроля максимального приращения функции первого графика;

Fixed Linear — расчет с постоянным линейным шагом по частоте;

Fixed Log — расчет с постоянным шагом на логарифмической шкале.

При выводе численных данных, они заносятся в файл с расширением

.АNO.

2.3.3. Расчет передаточных функций по постоянному току (DC Analysis)

После перехода в режим анализа по постоянному току и проверки

правильности схемы открывается окно задания параметров моделирования

DC Analysis Limits (рис.2.4).

Рисунок 2.4. Окно параметров DC Analysis.

При расчете режима DC программа закорачивает индуктивности, ис-

ключает конденсаторы и затем рассчитывает режим по постоянном току

при нескольких значениях входных сигналов.

Задание параметров моделирования DC Analysis Limits

Команды, опции, числовые параметры и вывод результатов в этом ок-

не во многом аналогичны приведенным для окон анализа переходных про-

109

Page 110: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

цессов и анализа по переменному току. Далее приведены только разделы,

отличающиеся от разделов других видов анализа.

Числовые параметры:

Input 2 range — пределы изменения второго варьируемого источника

тока и напряжения; формат Final[,lnitial[,Step]]. Если опустить параметр

Step (шаг), то шаг будет принят равным Final-Initial. Если опустить пара-

метр Initial, то начальное значение будет принято равным нулю.

Input 2— имя второго источника постоянного напряжения или тока.

Если источник один, то следует записать NONE.

Input 1 range — пределы изменения основного источника тока или на-

пряжения, формат Final[,lnitial[,MaxStep]]. Первые два параметра задают

конечное и начальное значение его величины, а третий — максимальный

шаг изменения. Фактический шаг изменения может быть меньше, чтобы

удовтворить задаваемому ниже требованию Maximum change. Если опус-

тить параметр MaxStep, то шаг изменения будет полностью определяться

параметром Maximum change.

Input 1 — имя основного источника напряжения или тока.

Number of Points — количество точек, выводимых в таблицы вывода

результатов;

Maximum change, % — максимально допустимое приращение графика

первой функции на интервале шага изменения первого источника Input 1

(в процентах от полной шкалы). Если график функции изменяется быстрее,

то шаг приращения величины первого источника уменьшается.

При выводе численных данных, они заносятся в файл с расширением

.DNO.

Многовариантный анализ

В меню всех видов анализа имеется раздел Stepping, с помощью ко-

торого указывается имя варьируемого параметра и пределы его изменений.

110

Page 111: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Рисунок 2.5. Окно параметров раздела Stepping.

Раздел содержит следующие строки:

Step What - на верхних двух строках указывается имя компонента и имя

его варьируемого параметра. Содержание этих строк зависит от выбранно-

го типа в строке Type: Component или Model. Если выбран тип

Component, то нажатие на кнопку в первой cтpoке открывает список

имен компонентов, содержащихся в схеме, например, С1, С СЗ, 01, L1, R1,

V2, V3. Если в этом списке выбрать простой компонент, имеющий единст-

венный параметр, например конденсатор, то на второй строке появится

стандартное имя Value. Если же выбранный компонент имеет модель по

директивам .MODEL или .SUBCKT, то на второй строке нужно выбрать

имя ее параметра. Причем если компонент входит в состав макромодели,

то используется составное имя: сначала указывается имя макромодели, за-

тем после десятичной точки - имя входящего в нее компонента, например

X1.D13, K2.DIN555. Если выбран тип Model, то нажатие на кнопку в

первой строке открывает список имен моделей и на второй строке нужно

выбрать имя варьируемого параметра выбранной модели.

From - начальное значение параметра. При выборе логарифмической шка-

лы оно должно быть больше нуля.

То - конечное значение параметра.

111

Page 112: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Step Value - величина шага параметра. При линейной шкале оно прибавля-

ется к начальному значению, а при логарифмической шкале умножается на

текущее значение параметра.

Status - включение/выключение режима вариации параметров при сле-

дующем сеансе моделирования.

Method - метод изменения параметра: Linear - линейная шкала; Log-

логарифмическая шкала.

Type - тип вариации параметров: Component - в списке компонентов Step

What выбирается имя компонента, чьи параметры должны варьироваться,

причем если в окне Global Settings включены опции PRIVATEANALOG/

PRIVATEDIGITAL, то варьироваться будут только параметры индиви-

дуально выбранного компонента. При выключении этих опций варьиро-

ваться будут параметры всех компонентов, имеющих ту же модель.

Model - в списке компонентов Step What выбирается имя модели

компонента.

Перед выполнением вариации параметров рекомендуется убедиться,

что моделирование выполняется без ошибок при номинальном значении

параметров. Кроме того, перед вариацией параметров в режиме Stepping

нужно отключить анализ по методу Монте-Карло (и наоборот).

Ограничения вариации параметров:

1. Нельзя варьировать параметры компонентов типа Transformer, User

source, Laplace source, Function source, зависимые источники SPICE.

2. Нельзя варьировать некоторые отдельные параметры моделей компо-

нентов: паразитные сопротивления всех полупроводниковых приборов

(RS, RB, RE, RC, RG и др.) нельзя варьировать, если в описании моделей

им присвоены нулевые значения: в моделях операционных усилителей

нельзя варьировать параметры Level и Type.

3. При вариации параметров резисторов, конденсаторов или индуктивно-

стей, описываемых математическими выражениями, эти выражения не

112

Page 113: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

принимаются во внимание, и параметры принимают значения, назначае-

мые в режиме Stepping.

2.3.4. Указания по выполнению работы

1. Изучите разделы 2.1, 2.2, 2.3.1-2.3.3. Для допуска к работе (получения

индивидуального задания на моделирование) необходимо уметь вводить

компоненты и проводники, изменять параметры схем, пассивных компо-

нентов и параметры моделей активных компонентов и источников.

2. Введите схемы, заданные преподавателем для анализа переходных про-

цессов, частотного и многовариантного анализа. Добейтесь соответствия

заданию режимов работы схем.

3. Оформите отчет.

Содержание отчета

1. Заданная преподавателем схема для анализа переходных процессов с

определенными в результате моделирования параметрами элементов.

2. Заданный преподавателем график переходного процесса.

3. Заданная преподавателем схема для частотного анализа с определенны-

ми в результате моделирования параметрами элементов.

4. Заданный преподавателем график частотной характеристики.

5. Заданная преподавателем схема для многовариантного анализа и по-

строения передаточных функций.

6. Заданные преподавателем графики статических характеристик.

Примечание: схемы и графики оформлять по ГОСТу.

Варианты схем, характеристик и задаваемые параметры

- Генератор синусоидальных колебаний (частота, выходное напряжение).

- Генератор прямоугольных или треугольных сигналов (частота, скваж-

ность, выходное напряжение).

113

Page 114: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

114

- Одновибратор (длительность входного и выходного импульсов).

- Усилитель (диапазон частот, коэффициент усиления, вид АЧХ).

- Режекторный фильтр (частота, коэффициент усиления, добротность).

- Полосовой усилитель (частота, коэффициент усиления, добротность).

- Статические входные, передаточные и выходные характеристики

компонентов или схем при изменении нагрузки, коэффициента передачи

цепи обратной связи и т.д. по п.2.3.3.

Контрольные вопросы

1. Как изменить параметры элементов схемы или параметры их моделей.

2. Как изменить параметры вывода графика переходного процесса.

3. Как изменить параметры вывода графика частотной характеристики.

4. Как изменить параметры вывода графика передаточной характеристики.

Пример тестирования

- В каких режимах можно редактировать параметры элементов?

- КТ315Г – это значение какого атрибута?

PART VALUE MODEL USER

- Какое значение меньше?

99999 1E6 2М

- Каков формат диапазона расчета переходных процессов?

Тmах[,Tmin] Тmin[,Tmax] Тmах,Tmin

- Укажите правильные значения поля Y Expression.

VGS(Q1) db(V(out)) IE(VT1) v(1)-V(2) v(1,2) i(VD1)

- Каков формат спецификации частоты в частотном анализе?

Fmax,Fmin Fmax[,Fmin] Fmax[,Fmin[,Step]]

- Каков формат параметров варьируемого источника?

Final[,Initial[,Step]] Final,Initial

Page 115: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3. Основные сведения о системе для разработки печатных

плат P-CAD (Master Designer)

Данное пособие посвящено наиболее распространенной версии

P-CAD 4.5 для ПК типа IBM PC под управлением операционной системы

DOS. Кроме того, в пособии дается информация по использованию версий

P-CAD, работающих под управлением операционной системы Windows.

3.1. Функциональные возможности и структура

Система P-CAD [7],[8] позволяет выполнять среди прочих следующие

проектные операции:

- создание условных графических обозначений элементов принципиальной

электрической схемы и их физических образов;

- графический ввод чертежа принципиальной электрической схемы и кон-

структивов проектируемого устройства;

- одно- и двустороннее размещение разногабаритных элементов с планар-

ными и многослойными контактными площадками на поле печатной платы

в интерактивном и автоматическом режимах;

- ручную и автоматическую трассировку печатных проводников произ-

вольной ширины в интерактивном режиме.

В состав подсистемы проектирования печатных плат входят следую-

щие, используемые в данном пособии программные модули:

- PCCAPS - графический ввод и редактирование схемных обозначений ра-

диоэлектронных компонентов (файл с расширением .SYM) и принципи-

альных электрических схем (.SCH);

- PCCARDS - графический ввод и редактирование конструктивов компо-

нентов (.PRT) и конструктивов печатных плат (.PCB);

- PCNODES - извлечение списка электрических связей из принципиальной

схемы или печатной платы в виде двоичных файлов, (.NLT или .PNL);

115

Page 116: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- PCROUTE - автоматическая трассировка соединений печатной платы;

- PREPACK - преобразование текстового файла перекрестных ссылок

схемных элементов и их конструктивов, используемых в схеме, в двоич-

ный файл с расширением .LIB;

- PCPACK - составление базы данных печатной платы на основе списков

электрических связей и перекрестных ссылок ("упаковка" схемы в файл с

расширением .PKG);

- NX-SPICE – преобразование данных P-CAD в PSpise.

3.2. Графический редактор PCCAPS

(В версиях P-CAD для Windows эквивалентом программы PCCAPS яв-

ляется приложение P-CAD Schematic)[10]. Графический редактор принципи-

альных электрических схем PCCAPS используется для решения двух за-

дач:

- построения/редактирования схемного обозначения компонента

принципиальной электрической схемы (файл с расширением .SYM) - ре-

жим SYMB;

- построения/редактирования принципиальной электрической схемы

аналогового или цифрового устройства (файл с расширением .SCH) - ре-

жим DETL.

Экран редактора содержит: область построения чертежа (может

быть размечена координатной сеткой), зону меню команд в двух колон-

ках и строку сообщений, в которой выводятся значения различных число-

вых и текстовых параметров активной команды, а также сообщения об

ошибках. Выбранная команда заключается в прямоугольную рамку, после

ее активизации в средней части левой колонки выводится список ее под-

команд.

116

Page 117: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3.2.1. Структура области построения чертежа.

Шаг координатной сетки, координаты курсора в области построения

чертежа и толщина линий измеряются в условных единицах базы данных.

В системе P-CAD используются две системы единиц DBU: английская

(English unit) и метрическая (metric unit). В английской системе условная

единица редактора PCCAPS составляет 0,01 дюйма, т.е. 0,254 мм, а в мет-

рической системе - 0,1 мм. При создании нового чертежа по умолчанию

устанавливается английская система единиц, в метрическую переходят по

команде SCMD/UNIT. Шаг координатной сетки в условных единицах по

осям x, y показан в строке состояний, например, 10:10.

Структура слоев чертежа. В программе PCCAPS полная информация о

чертеже заносится в несколько слоев (до 100), часть которых делают неви-

димыми, чтобы не перегружать чертеж. Информация о слоях выводится по

команде VLYR. Основные слои и использующие их команды:

WIRES Изображение цепей (проводников) - ENTR/WIRE.

BUS Изображение линий групповой связи.

GATE корпуса компонентов.

IEEE корпуса компонентов в стандарте IEEE.

PINFUN Указание функции выводов компонентов .

PINNUM Обозначение номеров выводов - SCMD/PNUM.

PINNAM Обозначение имен выводов - ENTR/PIN.

PINCON Изображение выводов компонентов- ENTR/PIN.

REFDES Позиционные обозначения компонентов/секций -

SCMD/PNUM .

ATTR Изображение видимых атрибутов - ATTR/...

SDOT Указание точек соединений проводников.

DEVICE Обозначение имен компонентов - DRAW/TEXT .

OUTLIN Изображение выходных линий компонентов .

ATTR2 Ввод невидимых атрибутов.

117

Page 118: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

NOTES Ввод текстовых надписей.

NETNAM Ввод имен цепей - NAME/NET.

CMPNAM Ввод имен компонентов на принципиальной схеме в

стандарте системы P-CAD - NAME/COMP.

BORDER Рамка чертежа.

Слои могут быть окрашены в любой из 16 цветов. Каждый слой имеет

одно из трех состояний (статусов):

OFF - слой не видим и недоступен для редактирования;

ON - слой видим, но недоступен для редактирования;

ABL - слой видимый и может стать активным (доступным для редак-

тирования) в режиме ABL - A.

3.2.2. Структура строки сообщений

Структура строки сообщений зависит от того, какая команда акти-

визирована в меню команд. Например, при выборе команды DRAW/LINE

строка сообщений имеет вид:

GATE SOLID ORTH W:0 50:50 S G -20.0 5.0

при активизации команды DRAW/TEXT:

NOTES SIZ:38 L B F M 1:1 S G 10.2 -25.7

Элементы строки сообщений:

LAYER Активный слой.

A Установка угла ориентации компонента (кратен 45o) .

ANGLE:<n> Ввод линий и проводников под произвольным углом .

45D Ввод линий и проводников под углом, кратным 45o.

ORTH Вывод линий и проводников под углом, кратным 90o.

B Нижняя привязка текста .

C Центральная привязка текста.

L Левая привязка текста .

R Правая привязка .

118

Page 119: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

T Верхняя привязка текста.

DASHED Проведение штриховой линии .

DOTTED Проведение пунктирной линии.

SOLID Проведение сплошной линии.

EQUIV Эквивалентность выводов .

F Ориентация текста (символы F имеют четыре разных ориента-

ции; символ выбранной ориентации окрашен в зеленый цвет).

M Нормальное/зеркальное отображение текста (красный цвет

символа M - нормальное отображение, зеленый цвет - зеркальное).

G Дискретное перемещение курсора (зеленый

цвет символа - дискретность включена, красный - выключена).

L Образование контакта проводника с выводом элемента или

другим проводником при попадании конца проводника в область вокруг

вывода, определяемую "радиусом захвата". Радиус захвата задается кла-

виатурной командой /SGAT в пределах 1...1000 DBU (зеленый цвет - ре-

жим захвата включен, красный - выключен).

S Включение координатной сетки.

SIZ:<n> Установка высоты текста .

W:<n> Установка ширины линии (в условных единицах от 0 до 250).

X:Y:<n,m> Установка множителя шага координатной сетки .

X Y Указание текущих координат курсора (в выбранной системе).

3.2.3. Перечень команд и подкоманд зоны меню команд

FILE/SAVE Запись файла данных на диск.

/LOAD Считывание файла данных с диска.

/ZAP Очистка экрана (базы данных).

/BKLD Считывание с диска файла с расширением .SCH, в котором

записан фрагмент схемы.

119

Page 120: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

/BKSV Запись фрагмента (окна) текущей схемы на диск в файл с

расширением .SCH.

SYS /STAT Показ параметров текущего проекта.

/DOS Вызов команд DOS .

/PLOT Создание файла с расширением .PLT для последующего вы-

вода чертежа на принтер или плоттер.

/QUIT Завершение работы с редактором.

QRY/COMP Выдача информации об указанном компоненте .

/PIN Выдача информации об указанном выводе компонента.

/NET Выдача информации об указанной цепи.

/APTH Выдача информации о критических путях.

/AGAP Выдача информации о группах элементов.

SCMD/SCAT Задание идентификатора типа компонента (для схемотех-

нического моделирования).

/SPAT Опрос/редактирование типов выводов и их логической экви-

валентности.

/PNLC Ввод информации об упаковке компонента.

/EPNL Исправление информации об упаковке компонента.

/SNAT Назначение атрибутов глобальным цепям (питание или "зем-

ля") в иерархических структурах.

/PNUM Простановка позиционных обозначений компонентов и но-

меров выводов.

/GSSF Загрузка файла специальных символов с расширением .SSF

/UNIT Установка системы единиц (метрическая/ дюймовая).

ENTR/PIN Ввод выводов компонентов, назначение их типов и ввод имен.

/ORG Установка точки привязки УГО компонента .

/SEQ Изменение порядка следования выводов компонентов.

/COMP Ввод компонента в принципиальную электрическую схему.

/WIRE Ввод проводника (цепи).

120

Page 121: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

/BUSB Ввод проводника с именем или последовательности провод-

ников, образующих шину.

/UCOM Отсоединение вывода компонента от проводника (цепи)

LEVL/PUSH Переход на нижний уровень иерархии.

/POP Переход на верхний уровень иерархии.

DRAW/LINE Рисование отрезков прямых линий (не проводников).

/RECT Рисование контура прямоугольника.

/FRECT Рисование закрашенного прямоугольника.

/CIRC Рисование окружности.

/ARC Рисование дуги окружности с заданным центром и радиусом.

/ARCP Рисование дуги по трем точкам.

/TEXT Ввод текста.

NAME/COMP Присвоение имени компоненту.

/NET Присвоение имени цепи.

/SUBN Переименование участка цепи.

/PIN Присвоение имени вывода или его переименование.

ATTR/SCHG Изменение значения атрибута.

/ACOM Ввод атрибута.

/DATR Удаление атрибута.

EDIT/ADDV Вставка вершины в сегмент линии/цепи .

/DELV Удаление вершины сегмента.

/MOVV Сдвиг вершины сегмента.

/MOVA Сдвиг сегментов, вершин, компонентов, присоединенных

непосредственно к выбранному сегменту или вершине.

/LAYS Смена слоя сегмента.

/DELS Удаление сегмента.

/MOVS Сдвиг сегмента.

/WIRE Ввод проводника (цепи) аналогично команде ENTR/WIRE.

MOVE/WIN Перемещение объектов, находящихся в окне .

121

Page 122: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

/IDEN Перемещение группы индивидуально указанных объектов.

/ATRB Выбор атрибутов для перемещения и редактирования.

/APTH Перемещение компонентов, объединенных в критический

путь по команде CPTH.

/AGRP Перемещение группы компонентов, объединенных по коман-

дам GRP.

ROT Поворот объекта против часовой стрелки с шагом 90o вместе с под-

соединенными проводниками:

/COMP Поворот компонента.

/WIN Поворот объектов, находящихся в окне.

/IDEN Поворот группы индивидуально указанных объектов.

COPY/WIN Копирование объектов, находящихся в окне .

/IDEN Копирование группы индивидуально указанных объектов.

DEL/WIN Удаление объектов, находящихся внутри и на границе окна.

/IDEN Удаление группы индивидуально указанных объектов.

/UNDO Восстановление последнего объекта, удаленного одиночной

командой DEL.

CLYR/WIN Перемещение объектов внутри окна на другой слой.

/IDEN Перемещение группы объектов с одного слоя на другой.

GRP /TAG Включение компонента в группу.

/UTAG Удаление компонента из группы.

/RNAM Изменение имени группы.

/RSET Уничтожение объединения элементов в группу.

CPTH/TAG Включение группы компонентов в критический путь.

/UTAG Удаление группы компонентов из критического пути.

/RNAM Изменение критического пути.

/RSET Уничтожение критического пути.

/UNLK Стирание видимых связей между группами компонентов,

входящих в критический путь.

122

Page 123: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

ZIN Увеличение изображения в 2 раза.

ZOUT Уменьшение изображения в 2 раза (при очень сильном сжатии изо-

бражения координатная сетка автоматически выключается).

VWIN Определение нового окна изображения, которое масштабируется в

размер экрана.

REDR Перезапись экрана для восстановления деталей, испорченных при

редактировании.

PAN Сдвиг текущего окна в новое положение.

STO Запоминание окна изображения для последующего вызова командой

RCL (запоминается до девяти окон).

RCL Вызов на экран окна изображения, предварительно сохраненного по

команде STO.

VLYR Установка состояний и цветов слоев.

3.2.4. Создание условного графического обозначения компонента

Схемное обозначение компонента создается в режиме SYMB. Для

создания схемного обозначения однородной ИМС рекомендуется сле-

дующая последовательность операций.

- Для перехода к метрической системе активизируется команда

SCMD/UNIT и в ответ на запрос в строке сообщений:

Converting from English unit to Metric? YES NO

выбирается ответ YES. С помощью команды ZIN устанавливаются

необходимые размеры изображения на экране. Кроме того, устанавливает-

ся шаг координатной сетки (10:10 в метрической системе соответствует

шагу сетки 1мм).

В P-CAD Shematic эти действия выполняются по командам

Options/Configure и Options/Grid[10].

- С помощью команды VLYR активизируются следующие слои:

GATE ABL A REFDES ABL

123

Page 124: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

PINNUM ABL ATTR ABL

PINNAM ABL ATTR2 ABL

PINCON ABL DEVICE ABL

- Ввод рисунка контура элемента выполняется по командам

DRAW. На строке состояний устанавливаются параметры:

имя слоя, в который заносится рисунок контура компонента (GATE);

вид линии; перпендикулярность отрезков линий; ширина линии; включе-

ние сетки (символ S окрашен в зеленый цвет); позиционирование курсора в

узлах координатной сетки (символ G окрашен в зеленый цвет).

На слое GATE вводятся основные и дополнительные поля, линии вы-

водов, указатели выводов и разделители зон.

- Ввод текстовых обозначений осуществляется по команде

DRAW/TEXT, перед вводом текста на строке состояний следует устано-

вить следующие параметры:

имя слоя; высоту текста; ориентацию текста; точку привязки текста по

горизонтали и по вертикали; инверсию (красный цвет символа M - нор-

мальное), зеркальное - зеленый). Пример строки состояния:

GATE SIZ:35 L C F M 10:10 S G 3.0 16.0

Обычно в слое GATE текстом указываются обозначения ее функции.

Серия ИС может указываться на специально созданном пользователем

слое, например SERIA, а подгруппа, вид - на другом слое TYPE. На слое

DEVICE, кроме того, вводят имя компонента, соответствующее имени

файла без расширения .SYM. Строка текста может состоять из символов

основной таблицы ASCII.

- Обозначения выводов компонентов. По команде ENTR/PIN сис-

тема сначала запрашивает место расположения вывода

Select pin location ...

Перед тем, как курсором отмечается точка расположения вывода, не-

обходимо отредактировать параметры (атрибуты) строки состояний. Слой

124

Page 125: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

PINCON, на котором располагается изображение выводов, устанавливает-

ся автоматически. Указывается тип вывода: IN - вход, OUT - выход, I/O -

двунаправленный вывод, OC - открытый коллектор, OE - открытый эмит-

тер, TRI - выход с тремя состояниями, AN - аналоговый выход, 7...15 - вы-

воды нестандартных типов. Редактируется шаг координатной сетки, флаги

включения координатной сетки S и дискретности перемещения курсора G.

После установки параметров курсор подводится к концу вывода и на-

жимается левая кнопка мыши, после чего выбранная для вывода точка по-

мечается на экране крестиком цвета слоя PINCON.

Далее на строках сообщений появляется запрос о выборе расположе-

нии имени вывода:

Select pin name location. (Attrb. OK?) ...

Сначала устанавливается высота текста, его привязка, ориентация и

зеркальность изображения текста, имя слоя (автоматически активизируется

слой PINNAM), ориентация - F. После этого выбирается место для распо-

ложения имени вывода, нажатием кнопка "мыши" и после запроса

Enter pin name

на клавиатуре набирается имя вывода, которое заканчивается нажати-

ем левой кнопки. После ввода его имени вывод помечается кружком сине-

го цвета.

Уникальные имена должны быть присвоены всем выводам. После за-

вершения ввода информации об одном выводе система повторяет запрос о

расположении следующего.

В P-CAD Shematic эти действия выполняются по команде Place/Pin[10].

- По команде ENTR/ORG отмечается ключевая точка, к которой

"привязывается" изображение компонента. Она помечается на чертеже бе-

лым кружком.

125

Page 126: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Ввод информации об упаковке компонента производится по ко-

манде SCMD/PNLC. После ее активизации система выдает сначала запрос

о количестве однотипных элементов (секций) в одном корпусе ИС:

Enter gates per package:

Затем предлагается выбрать место расположения цифро-буквенного

обозначения компонента (по ГОСТ), которое назовем его позиционным

обозначением:

Select location for ref designator ...

При необходимости атрибуты текста позиционного обозначения изме-

няются, как указано выше. На экране затем появляется белый прямоуголь-

ник, который курсором необходимо подвести к выбранному месту распо-

ложения позиционного обозначения и нажать левую кнопку. Далее появ-

ляется запрос о выборе расположения номера вывода

Select location for pin number ...

Слой PNNUM устанавливается автоматически. На экране снова появ-

ляется белый прямоугольник, который необходимо подвести к месту,

предназначенному для этого номера. Так помечаются все выводы одной

секции. Затем проставляются упаковочные номера выводов ИС, начиная с

первой секции, помечаемой символом A:

Enter package pin number for <имя вывода>:

Gate assigned to section A.

Нумеруемый вывод окрашивается в белый цвет. После завершения

нумерации выводов первой секции аналогично по запросам программы ну-

меруются выводы остальных секций, помечаемых символами B, C, ..., ZZ.

В режиме SYMB номера выводов, набираемые на клавиатуре, заносятся в

базу данных, но на экран не выводятся; они становятся видны при проста-

новке номеров выводов компонентов на принципиальной схеме по коман-

де SCMD/PNUM в режиме DETL.

126

Page 127: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Идентификация типа компонента командой SCMD/SCAT по за-

просу

Symbol Old type= 255 . New type=

Код идентификации <type> используется программой функциональ-

но-логического моделирования PCLOGS и другими программами модели-

рования аналоговых и аналого-цифровых устройств. Компоненты, функ-

ции которых не моделируются, имеют код 255.

- Редактирование типа выводов, использующееся только в програм-

ме PCERC, осуществляется командой SCMD/SPAT. По этой команде сна-

чала опрашиваются все выводы компонента в том порядке, в котором они

введены, и выводится информация о типе каждого вывода:

New type (0=I; 1=O; 2=IO; 3=OC; 4=OE; 5=TRI; 6=AN):

Type of pin <имя вывода> is <тип вывода>

После этого на экране появляется информация о коде логической эк-

вивалентности выводов LEQ:

Enter new code:

Pin LEQ code is <код эквивалентности>

Код LEQ принимает значения 0, 1, 2, ... Если два или более вывода

имеют одинаковый код эквивалентности, отличный от нуля, то при трасси-

ровке соединений на этапе разработки печатной платы система может их

менять местами для получения более оптимального результата (например,

в логических элементах И, ИЛИ входы одного вентиля имеют одинаковый

код эквивалентности).

В P-CAD Shematic эти действия выполняются по команде Utils/

Renumber[10].

- Ввод атрибутов компонентов выполняется командой ATTR/ACOM

по запросам

Select location. (Text attributes OK?) ...

Type in attribute spec

127

Page 128: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Атрибут (дополнительная информация) состоит из двух частей: клю-

чевого слова и значения, разделенных знаком равенства "=". Ключевое

слово должно начинаться с буквы и иметь длину до 7 символов. Значение

атрибута представляет собой последовательность чисел или текстовых пе-

ременных, разделенных запятыми. Справа от знака равенства при записи

атрибута может быть любая текстовая или цифровая строка, включающая в

себя пробелы и скобки. Общая длина атрибута, включая его имя, не долж-

на превышать 39 символов. Если после знака равенства имеется более од-

ного параметров, разделенных пробелами, то они заключаются в круглые

скобки или кавычки. После ввода атрибута ключевое слово и знак равенст-

ва становятся невидимыми на экране.

Цифровые ИС, моделируемые с помощью программы PCLOGS, име-

ют атрибуты:

С помощью атрибута PCL=<текст атрибута> задаются задержки сиг-

налов и нагрузочная способность микросхем, например PCL=(5,5,"D","D").

С помощью атрибута MDL=(<имя файла модели>.MDL) задается имя

текстового файла, в котором помещено описание модели микросхемы на

языке PML, например MDL=(555IE4.MDL).

Аналоговые и цифровые ИС, моделируемые с помощью программы

PSpice, имеют атрибут SPP1="< имя макромодели ИС >", например,

SPP1=1531LA3.

Компоненты схемы могут иметь информацию о цепях питания и "зем-

ли", задаваемую с помощью атрибута PWGD=(pin=net, ..., pin=net). Здесь

pin - номер вывода компонента, net - имя цепи, к которому подключается

этот вывод, например PWGD=(7=GND,14=+5V); всего не более 4-х выво-

дов питания. В принципиальной схеме цепи питания и "земли" должны

иметь такие же имена. Атрибут PWGD не обязателен; в его отсутствие

подключение цепей питания и "земли" осуществляется с помощью файла

128

Page 129: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

перекрестных ссылок с расширением .FIL или выводы питания должны

быть явно указаны.

С помощью атрибута PRT=<имя файла>.PRT может быть указан кон-

структив, соответствующий данному схемному обозначению компонента.

Например, ИС 1531ЛА3 может иметь атрибут PRT=1531LA3.PRT. Задание

атрибутов PRT не обязательно. В их отсутствие взаимное соответствие

файлов с расширениями .SYM и .PRT указывается в файле перекрестных

ссылок .FIL.

Кроме того, в системе P-CAD пользователь имеет право ввести атри-

буты с собственными ключевыми словами и использовать их в дальней-

шем по своему усмотрению.

В P-CAD Shematic действия над атрибутами выполняются по ко-

манде Place/Attributes[10].

- При необходимости изменения имен выводов компонента исполь-

зуется команда NAME/PIN: курсором выбирается нужный вывод и вводит-

ся его новое имя. При этом уничтожается старая информация об упаковке

компонента, поэтому команду SCMD/PNLC приходится выполнить после

этого заново. Расположение номеров выводов и их значений, а также дру-

гая упаковочная информация редактируется по команде SCMD/EPNL.

- Запись графического изображения компонента на диск производит-

ся по команде FILE/SAVE. В ответ на запрос

Enter file name:

следует ввести имя файла (без расширения .SYM). Этот файл зано-

сится в каталог, указанный при настройке конфигурации программы

PCCAPS. Для занесения файла в другой каталог следует указать полное

имя файла, включающее в себя путь к этому каталогу.

В P-CAD Shematic запись графического изображения в библиотеку

выполняются по команде Library/Pattern Save As.

- Работа в PCCAPS завершается по команде SYS/QUIT.

129

Page 130: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Особенности создания дискретных компонентов

Отличия от создания условного графического обозначения ИС состоят

в следующем.

Текстовые обозначения и имя компонента на слое DEVICE для дис-

кретных компонентов обычно не наносятся.

Имена и номера выводов дискретных компонентов на чертеж не вы-

носятся, хотя для системы P-CAD эта информация необходима. Поэтому

при запросе ENTR|PIN места расположения имени вывода после установ-

ки курсора в выбранную точку нажимается правая кнопка "мыши" или

[Esc], в результате введенное имя вывода не будет видно.

Номера выводов указываются по команде SCMD/PNLC на слое

ATTR2, чтобы сделать их невидимыми.

Место расположения позиционного обозначения указывается вне кон-

тура элемента. Для горизонтального резистора его помещают обычно

сверху ближе к левому выводу резистора (рис. 2.7,а), для вертикальных ре-

зисторов - справа или слева (рис. 2.7,б). В некоторых случаях, в частности

для разъемов, на чертеж не выносится позиционное обозначение каждой

секции разъема (после нанесения на схему чертежа всего разъема позици-

онное обозначение приходится проставлять вручную), для этого позици-

онное обозначение вместо слоя REFDES заносится на невидимый слой

ATTR2. Остальная информация об упаковке вводится, как и для ИС.

Многие компоненты схемы, такие как резисторы, конденсаторы, дио-

ды, транзисторы, могут иметь одинаковые обозначения, но различные кон-

структивы в зависимости от их типа и значений параметров. К сожалению,

для каждого конструктива приходится создавать соответствующий файл

.SYM. Для обеспечения возможности горизонтальной и вертикальной ори-

ентации компонента придется создавать два файла .SYM (для диодов –

четыре).

130

Page 131: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3.2.5. Создание чертежа принципиальной схемы

Чертеж принципиальной электрической схемы создается в режиме

DETL. Рекомендуется следующая последовательность операций.

- С помощью команды VLYR активизируют следующие слои:

WIRES ABL A SDOT ON

BUS ABL NETNAM ABL

GATE ON CMPNAM ABL

PINCON ON ATTR ABL

- Размещение компонентов выполняется по команде ENTR/COMP в

слое GATE. Сначала в ответ на запрос:

Comp-file-name G/T-scales (F1 for list)

вводится имя файла .SIM и масштаб его изображения на схеме (по умолча-

нию - 100 %) по формату <имя файла>[.SYM] [<масштаб>]. Расширение

имени файла .SYM вводить не обязательно. Если вместо ввода имени фай-

ла нажать функциональную клавишу F1, то справа от поля чертежа выво-

дится список каталогов и имен библиотечных файлов .SLB, указанных в

файле конфигурации.

После ввода с клавиатуры имени файла или выбора его из списка на

экране появляется изображение контура компонента белого цвета и систе-

ма запрашивает место его расположения на поле чертежа:

Select loc to place comp. (Orientation OK?).

Курсором подводят изображение компонента к выбранной позиции на по-

ле чертежа и нажимают левую кнопку "мыши" или [Пробел]. Если обозна-

чение компонента создано в другой системе единиц, чем чертеж, выводит-

ся сообщение о возможности преобразования его в другую систему еди-

ниц, например,

Converting comp to English Unit. Continue? YES NO

131

Page 132: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

При ответе YES преобразованное УГО размещается на поле чертежа;

при этом выводы компонента не попадут, как правило, в узлы координат-

ной сетки, что затруднит подсоединение проводников.

В P-CAD Shematic размещение компонентов выполняется по коман-

де Place/Part.

- Изображение электрических связей выполняется по команде

ENTR/WIRE. По запросу системы: Select start point ... курсор подводят к

начальной точке цепи, которая фиксируется нажатием левой кнопки, после

чего по запросу: Select next point ... курсор подводят к следующей точке,

нажимают кнопку и затем аналогично проводят следующий отрезок про-

водника. Ввод цепи заканчивается нажатием правой кнопки. В точке пере-

сечения цепей электрическое соединение автоматически не производится.

Если конечная точка выбрана на уже существующей цепи, то система за-

просит подтверждение их соединения: Merge the nets? YES NO.

При вводе на чертеже изображений компонентов их выводы помече-

ны крестиками, после подключения к ним цепей крестики, что свидетель-

ствует о наличии электрического соединения. При сдвиге компонентов

командами MOVE соединяющие цепи не рвутся.

В P-CAD Shematic размещение цепей выполняется по команде

Place/Wire.

- Присвоение имен цепям и компонентам выполняется командами

группы NAME.

Имя цепи вводится по команде NAME/NET (на слое NETNAM). Кур-

сором выбирают именуемую цепь (она ярко высвечивается), набирают на

клавиатуре ее имя и курсором отмечают место его расположения на чер-

теже. Цепи, имеющие одинаковые имена, считаются электрически соеди-

ненными, если даже на принципиальной схеме они не соединены.

Имена компонентов вводятся по команде NAME/COMP в слое

CMPNAM. Сначала по запросу Select a component ... курсором выбирают

132

Page 133: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

компонент, который после этого ярко высвечивается. Далее на запрос сис-

темы Enter component name: с клавиатуры вводят имя компонента, напри-

мер DD1.1. Место расположения этого обозначения на чертеже указывают

по запросу NAME=<имя компонента> Select location ... Имя компонента на

чертеже фиксируется нажатием правой кнопки.

- Нанесение на чертеж номеров выводов компонентов произво-

дится по команде SCMD/PNUM в слое PINNUM. Курсором по очереди

помечают компоненты и по запросам системы

Select a component ...

=>Enter ref-designator/section

вводят позиционное обозначение каждой секции компонента, которое

состоит из позиционного обозначения компонента и имени секции, отде-

ленного от него косой чертой, например DD1/A. Если компонент состоит

из одной секции, например резистор, то ее имя можно не указывать. Пози-

ционные обозначения компонентов переносятся в программу PCCARDS

после упаковки чертежа схемы.

Однако перед выпуском документации позиционные обозначения

компонентов следует отредактировать в соответствие с ГОСТ с помощью

команды DRAW/TEXT, например DD1.1.

-Запись схемы в файл с расширением .SCH, в режиме DETL прини-

маемым по умолчанию. В P-CAD Shematic,запись производится по ко-

манде FILE/SAVE, однако, в этом случае перед сохранением схемы необхо-

димо создать список соединений командой Utils/Generate Netlist в форма-

те Tango или P-CAD ASCII. В PCAD для DOS список соединений создается

отдельной утилитой PCNODES (см. п.1 Этап 1).

3.3. Графический редактор PCCARDS

В версиях P-CAD для Windows аналогом PCCARDS является прило-

жение P-CAD PCB с набором команд, близким к P-CAD Schematic[10].

133

Page 134: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Экран дисплея PCCARDS форматируется и разбивается на несколько

зон, аналогично экрану программы PCCAPS, однако, его набор слоев и

команд несколько отличается.

3.3.1. Структура слоев области чертежа PCCARDS

PADCOM Графика контактных площадок со стороны компонентов.

FLCOMP Информация для фотоплоттера о контактных площадках со

стороны компонентов.

PADSLD Графика контактных площадок на нижней стороне платы.

FLSOLD Информация для фотоплоттера о контактных площадках на

нижней стороне платы.

PADINT Графика контактных площадок внутренних слоев.

FLINT Информация для фотоплоттера о контактных площадках внут-

ренних слоев.

GNDCON Графика контактных площадок на слое "земли".

FLGCON Информация для фотоплоттера о контактных площадках на

слое "земли".

CLEAR Графическая информация о зазорах.

FLCLER Информация для фотоплоттера о зазорах.

PWRCON Графика контактных площадок на слое полей и шин питания.

FLPCON Информация для фотоплоттера о контактных площадках на слое

полей и шин питания.

SLDMSK Графика маски пайки.

FLSMSK Информация для фотоплоттера о маске пайки.

DRILL Графическая информация о сверлении отверстий.

FLDRLL Информация для фотоплоттера о контроле сверления отверстий.

PIN Слой обозначений выводов.

BRDOUT Слой контура печатной платы.

FLTARG Информация о реперных знаках на фотошаблонах слоев.

134

Page 135: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

SLKSCR Графика контуров компонентов для одностороннего монтажа.

DEVICE Имена компонентов.

ATTR Слой атрибутов.

REFDES Позиционные обозначения компонентов при одностороннем

монтаже.

COMP Слой трассировки на стороне компонентов.

SOLDER Слой трассировки на нижней стороне платы.

INT1 Первый внутренний слой трассировки.

INT2 Второй внутренний слой трассировки.

DRLGIN Графика сверления внутренних слоев.

DRLFIN Информация для фотоплоттера для контроля сверления внут-

ренних слоев.

PINTOP Слой планарных контактных площадок на верхней стороне.

PINBOT Слой планарных контактных площадок на нижней стороне.

MSKGTP Графика маски пайки верхней стороны платы.

MSKGBT Графика маски пайки нижней стороны платы.

MSKFTP Информация для фотоплоттера о графике маски пайки верхней

стороны платы.

MSKFBT Информация для фотоплоттера о графике маски пайки нижней

стороны платы.

PSTGTP Графика пайки верхней стороны платы.

PSTGBT Графика пайки нижней стороны платы.

PSTFTP Информация для фотоплоттера о графике пайки верхней стороны

платы.

PSTFBT Информация для фотоплоттера о графике пайки нижней стороны

платы.

SLKTOP Графика основных линий изображений планарных компонентов

на верхней стороне платы.

135

Page 136: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

SLKBOT Графика основных линий изображений планарных компонен-

тов на нижней стороне платы.

DVCTOP Имена планарных компонентов на верхней стороне платы.

DVCBOT Имена планарных компонентов на нижней стороне платы.

REFDTP Позиционные обозначения планарных компонентов на верхней

стороне платы.

REFDBT Позиционные обозначения планарных компонентов на нижней

стороне платы.

3.3.2. Перечень команд и подкоманд, отличающихся от имеющихся

в PCCAPS

QRY/VIA Выдача информации о типе перехода (сквозной - throngh-hole

или межслоевой -interstitial).

SCMD /VMRG Включение свободных пустот на плате в состав полигона

/LPAR Объединение слоев в пары с указанием типа перехода.

/PCLR Спецификация зазора между проводниками и полигонами

/SIPC Спецификация апертур, используемых во внутренних слоях

/PSIZ Задание минимального размера полигона.

/SPKG Ввод информации об упаковке однородных компонентов.

/EPKG Редактирование информации об упаковке компонента, вве-

денной ранее командой SCMD/SPKG.

/NPKG Ввод информации об упаковке неоднородных компонентов

/JMPR Спецификация перемычек, используемых на однослойных

платах.

ENTR /POLY Определение полигона, обладающего свойствами электри-

ческого проводника.

/RATN Ввод электрической связи между выводами.

DRAW/FLSH Указание о позиционировании маски.

136

Page 137: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

/POLY Ввод полигона, не обеспечивающего электрического соеди-

нения.

/CVOD Создание круглых пустот внутри полигона.

/PVOD Создание пустот в форме многоугольника внутри полигона.

EDIT/AVIA Добавление межслойного перехода к узлу цепи существую-

щего проводника.

/DVIA Удаление межслойного перехода.

/MVIA Сдвиг межслойного перехода.

MOVE/COMP Перемещение компонента с указанным именем.

COPY /TRCE Точное копирование отрезка.

SWAP/COMP Перестановка компонентов на плате.

/GATE Перестановка логических элементов между различными

корпусами ИС или внутри отдельного корпуса.

/PIN Перестановка логически эквивалентных выводов отдельного

компонента.

3.3.3. Создание конструктива компонента

Конструкторско-технологическое изображение компонента созда-

ется в режиме SYMB. Рекомендуется следующая последовательность

действий.

-По умолчанию в графическом редакторе PC\CARDS устанавливается

английская система единиц. При создании конструктивов ИМС удобно

выбрать шаг координатной сетки 1,25мм, соответствует масштабу 50:50.

- С помощью команды VLYR активизируются следующие слои в

зависимости от типа платы:

однослойная - многослойные платы

PIN ABL A PIN ABL A

SLKSCR ABL SLKTOP ABL

DEVICE ABL SLKBOT ABL

137

Page 138: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

DVCTOP ABL

DVCBOT ABL

ATTR ABL

- Ввод точек расположения выводов по команде ENTR/PIN.

В ответ на подсказку Select pin location...

курсор устанавливается в точку расположения вывода и нажимается

левая кнопка "мыши", после чего выбранная для ввода точка помечается

на экране кружком синего цвета. Перед выбором места расположения вы-

вода следует устанеовить в строке состояний параметры:

TYPE - тип вывода, принимающий следующие значения:

0 - межслойный переход;

1- 24 - штыревые выводы;

25-50 - планарные выводы.

1 - первый вывод (ключ), обычно имеющий квадратную форму,

2 - остальные выводы, за исключением первого вывода и выводов

питания и "земли",

3 - вывод "земли",

4 - вывод питания,

5...23 - дополнительные типы выводов, задаваемые конструктором,

24 - контакт разъема;

и EQUIV - код зквивалентности вывода (выводам, которые нельзя ме-

нять местами, назначается код 0; взаимозаменяемым выводам присваива-

ется одинаковый код 1, 2, ...) в ответ на подсказки:

Enter pin type number:

Pin equivalence number:

После выбора места расположения вывода в ответ на подсказку

Select pin name location. (Attrb OK?)...

указывается место расположения его имени, и в ответ на подсказку

Enter pin name [pin number]:

138

Page 139: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

вводится имя вывода. Эти имена выводов произвольные, обычно им

присваивают номера 1, 2, ... Одновременно система присваивает сквозную

нумерацию выводов независимо от того, какие им присвоены имена.

Сложности возникают для компонентов, которые имеют не сквозную ну-

мерацию выводов. Напимер, незадействованные выводы. В таком случае

программа PCCARDS все равно присвоит выводам сквозную нумерацию,

которую следует вручную исправить с помощью программы PCCOMP или

командами SCMD.

В P-CAD PCB эти действия выполняются по команде Place/Pad.

-Рисунок контура конструктива выполняется по команде DRAW в

слоях SKTTOP или SLKBOT - контуров и сквозных отверстий, для одно-

слойных плат - слой SLKSCR. В P-CAD PCB рисование контура компо-

нента и ввод его атрибутов выполняются на слое Top Silk.

-Текстовые обозначения наносится по команде DRAW/TEXT в слое

DEVICE или DVCTOP внутри контура компонента.

-Ключевая точка указывается по команде ENTR/ORG (первый вы-

вод)

-По команде SCMD/SCAT вводится код идентификации типа ком-

понента по запросу:

Part Old type=255. New type=

и тип выводов (планарные или штыревые) по запросу:

Is part type SMD? Yes/No

Компоненты, которые не нужно упаковывать и включать в список

соединений, имеют код идентификации 0; компоненты, включаемые в

список соединений, но неупаковываемые - код -1. При создании графиче-

ского образа компонента со штыревыми выводами в ответ на последний

запрос выбирают ответ No.

- С помощью команды SCMD/SPAT при необходимости исправляем

тип и код эквивалентности каждого вывода по запросам:

139

Page 140: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Enter new type:

Type of pin ... is ...

Enter new code:

Pin LEQ code is ...

- По команде SCMD/SPKG вводится количество однотипных элемен-

тов (секций) в одном корпусе, количество выводов в одной секции (упа-

ковка) по запросам:

Enter number of gates:

Enter number of pins per gate:

и далее последовательно вводятся имена выводов и с помощью

курсора указывается их расположение на чертеже компонента по

запросам:

Enter name of gate pin <n>:

Select gate <m> pin <имя вывода>

Здесь n=1, 2, ... - номера выводов, введенные ранее, m - номер секции.

Напомним, что имена выводов должны быть такими же, которые указаны

при создании схемного обозначения компонента в файле с расширением

.SYM с помощью программы PCCAPS !!!

Упаковка неоднородных компонентов производится по команде

SCMD/NPKG вместо SCMD/SPKG. Система при этом дополнительно за-

прашивает количество типов неоднородных секций:

Enter the number of gate types.

В P-CAD для Windows упаковка выполняется только после создания

графических изображений элемента ( в PCB и Schematic) при работе в

приложении Library Executive(Manager) путем создания библиотечного

компонента по командам Component/New, Component/Information Pins

View.

- Вводится атрибут FOOTPRINT с помощью команды ATTR/ACOM по

формату: FP=<значение атрибута>

140

Page 141: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

В качестве значения атрибута обычно вводят имя типового конструкти-

ва, например DIP16, MLT0_125 и т.п. Атрибуты Footprint позволяют

программе PCPLACE манипулировать компонентами, имеющими одина-

ковые геометрические размеры.

-Запись конструктива компонента на диск производится по команде

FILE/SAVE.

3.3.4. Ввод контактных площадок

Контактные площадки представляют собой металлизированные об-

ласти на плате, к которым подсоединяются выводы компонентов. Кон-

тактные площадки для компонентов со штыревыми выводами имеют

сквозные отверстия, выполняемые на сверлильных станках с ЧПУ. Центр

отверстия отмечается на чертеже контактной площадки. Контактные пло-

щадки компонентов с планарными выводами таких отверстий не имеют.

С помощью контактных площадок осуществляются также межслойные со-

единения. Графика контактных площадок создается отдельно в PCCARDS

(режим SYMB) и заносится в файлы с расширением .PS.

Физическое изображение контактных площадок наносится на чертеж

платы после завершения ее проектирования с помощью команды

SCMD/GSSF по ее запросу необходимо указать имя файла с расши-

рением .SSF, в котором указаны перекрестные ссылки между типами вы-

водов компонентов и этажерками контактных площадок. В этом файле ка-

ждому типу вывода компонента соответствует одна или две строки,

имеющие следующий формат:

<тип> <статус> <имя файла контактных площадок> %<комментарий>

Тип вывода компонента назначается по команде ENTR/PIN. Статус

вывода - подсоединение проводника к выводу: C - подсоединение провод-

ника, N - отсутствие соединения, * - оба варианта. Имя файла контактных

площадок обычно имеет стандартную форму: сначала указывается размер

141

Page 142: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

контактной площадки, затем ее форма (R - круглая, S - квадратная), затем

диаметр сверла и в конце символ: C - подсоединение проводника, N - от-

сутствие соединения, G- подсоединение шины "земля", P - подсоединение

шины питания, V -стек контактных площадок межслойных переходов.

3.3.5. Создание чертежа печатной платы

Чертежи плат создаются во взаимодействии программ PCCARDS,

PCROUTE и ряда утилит. Графический редактор PCCARDS используется

при этом на этапах создания чертежа контура платы, предварительного

размещения компонентов, предварительной трассировки соединений.

Перед размещением компонентов на плате необходимо:

- нарисовать форму платы в слое SLKSCR,

- нарисовать поле трассировки в слое BRDOUT – контур замкнутый,

в P-CAD PCB эти действия выполняются по командам File/New и

Place/Line в слое Board[10].

- разместить разъемы и другие компоненты, расположение которых

должно быть зафиксировано,

- присвоить позиционные обозначения зафиксированным компонентам,

- установить элементы крепления,

- нанести на слое BARVIA барьеры трассировки.

Размещение компонентов на поле платы удобнее выполнять с по-

мощью программы PCPLACE, имеющей для этого мощные

интеллектуальные средства в виде гистограмм плотностей размещения

компонентов и силовых векторов. Однако размещение можно выпол-

нить и в PCCARDS – команда ENTR/COMP, в P-CAD PCB размещение

компонентов выполняяется по командам: Place/Component - вручную или

Utils/Load Netlist – в соответствии с имеющейся схемой.

Ручная трассировка соединений производится по команде

ENTR/WIRE, в P-CAD PCB это делается по команде Place/Connection.

142

Page 143: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Автоматизированная трассировка соединений в P-CAD для DOS выполня-

ется в последовательности, описанной в п. 3.7, а для Windows – по команде

P-CAD PCB Route/Autorouters либо встроенными трассировщиками: Quick

Route, PRO Route, P-CAD Shape Route, либо программой размещения и

трассировки SPECCTRA.

3.4. Создание файла перекрестных ссылок (под DOS)

Файл перекрестных ссылок ставит в соответствие схемному обозначе-

нию каждого компонента принципиальной схемы .SYM его конструктив

.PRT. Файл перекрестных ссылок составляет пользователь в виде текстово-

го файла с расширением .FIL, который программа PREPACK преобразует в

двоичный файл с расширением .LIB. Файл перекрестных ссылок не тре-

буется, если файлы с расширениями .SYM и .PRT имеют одинаковые име-

на и SYM-файлах указаны атрибуты PRT и PWGD.

Файл перекрестных ссылок состоит из совокупности строк, количест-

во которых равно количеству разнотипных компонентов. Строки имеют

следующий формат:

Ngates Part_type Part_name Pin/net_list Symbol_name

Ngates - количество секций в компоненте;

Part_type - тип конструктива (рекомендуется значение атрибута FP);

Part_name - полное имя файла конструктива компонента;

Pin/net_list - список выводов подключения питания и "земли" по фор-

мату (<имя вывода>=<имя цепи> <имя вывода>=<имя цепи> ...)

Symbol_name - имя файла символа принципиальной схемы с ука-

занием расширения .SYM.

Атрибуты PWGD= и PRT= в .SYM-файле имеют больший вес, чем те

же данные в файле перекрестных ссылок.

143

Page 144: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

3.5. Упаковка базы данных печатной платы (под DOS)

Файл упакованной базы данных и другие выходные файлы создают-

ся программой PCPACK в режиме "Package PCB", со следующим меню:

Schematic Netlist : <имя файла>[.NLT]

Part/Cross-Reference : <имя файла>[.FIL] или [Esc]

Compiled Part-Reference : <имя файла>[.LIB]

Part Library Path : <As_in_Reference_File>/

<Current directiry>

Input PCB file :<имя файла>[.PCB]

Packaged PCB file : :<имя файла>[.PKG]

Packaged Netlist :<имя файла>[.PNL]

Packaging Command File:<имяфайла>[.CMD]

Annotated Netlist :<имя файла>[.BNL]

PCB Attributes : <None>

Здесь вводятся имена следующих файлов.

- Schematic Netlist - файл списка соединений платы;

- Part/Cross-Reference - файл перекрестных ссылок с расширением .FIL или

с расширением .LIB; если пользователь не хочет его использовать - нажать

клавишу [Esc];

- Compiled Part-Reference - компилированный .FIL - файл .LIB;

- Part Library Path - имена каталогов, соединяемых знаком "+", в которых

находятся файлы конструктивов .PRT, или текущий каталог;

- Input PCB file - имя файла конструктива платы .PCB или другого файла

базы данных платы с предварительно размещенными компонентами;

- Packaged PCB file - выходной файл упакованной базы данных .PKG;

- Packaged Netlist - файл списка упаковки .PNL; для отмены его создания

нажимается клавиша [Esc];

- Packaging Command File - командный файл упакованной базы данных

.CMD; для отмены его создания нажимается клавиша [Esc];

144

Page 145: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Annotated Netlist - аннотированный список связей .BNL; для отмены его

создания нажимается клавиша [Esc];

- PCB Attributes - перечень атрибутов, созданных графическими редакто-

рами PC-CAPS и PC-CARDS, информация о которых должна быть перене-

сена в файл упакованной базы данных. По умолчанию устанавливается

режим "None", в режиме "All" переносятся все атрибуты, для выборочного

переноса необходимо указать перечень их ключевых слов, через "+".

Сообщения об ошибках заносятся в файл PCPACK.ERR

3.6. Автоматическая трассировка печатных плат

Программа PCROUTE (под DOS) запрашивает два входных файла:

- исходную базу данных;

- файл стратегии разводки (.CTL).

Начальное меню PC-ROUTE

Enter database name: <***>

Select routing strategy: <PCAD1>

Edit routing strategy

>> Route <<

Exit PC-ROUTE

Чтобы попасть на верхнюю строку меню (ввод имени базы дан-

ных), нужно нажать клавишу [Home], по умолчанию предполагается

расширение .PLC.

3.6.1. Описание редактора стратегии трассировки (файла .CTL)

После выбора режима "Edit routing strategy" в начальном меню про-

граммы PCROUTE на экран выводится меню выбора стратегии трассиров-

ки:

>> Edit routing parameters << (редактирование параметров трассировки).

- Edit ripup parameters (редактирование параметров алгоритма Rip-up).

- Edit pad descriptions (редактирование описания контактных площадок).

145

Page 146: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- Edit wiring rules (редактирование правила монтажа проводников).

- Edit net class definitions (редактирование определения классов цепей).

- Edit layer descriptions (редактирование описаний слоев).

Подменю редактирования параметров стратегии:

Units: (единицы измерения) MM.

- Routing grid (координатная сетка) - x: 50 y: 50.

- Number of routing layers: (количество разводимых слоев) - 3.

- Layering strategy: (стратегия трассировки слоев – одновременно или па-

рами) - Layer Pairs.

- Route type: (тип трассировки). Тип трассировки - определяет, каким

образом будут соединены части цепей, содержащие больше двух контак-

тов. Доступны 4 опции: "Steiner-Minvia", "Steiner", "Minspan", "Daisy

chain". "Steiner-Minvia" позволяет создавать Т-образные соединения с

предварительно размещенными проводниками и переходными отвер-

стиями и дает наилучшие результаты. Трассировка типа "Steiner" рабо-

тает несколько быстрее, чем "Steiner-Minvia", но окончательные результа-

ты могут быть хуже. Тип "Minspan" запрещает Т-образные соединения

с предварительно размещенными проводниками. Тип "Daisy chain" ра-

ботает аналогично "Minspan" за исключением того, что число соедине-

ний с контактом может быть не больше двух.

- Route order: (порядок трассировки Short-Long или Long-Short).

- Display type: (вид вывода на дисплей при трассировке) - Status + Route.

- Perform memory route: (параметры специального алгоритма трассировки -

"память") - Horizontal + Vertical.

- Perform diagonal route: (диагональная трассировка) - No.

- Perform via minimization: (минимизация переходных отверстий) - No.

- Perform beveling: (сглаживание углов во время или после трассировки) -

During.

- Perform arc beveling: (сглаживание дугами) - No.

146

Page 147: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Define detailed parameters (переход в дополнительное меню.

Имеются два вложенных меню: второе и третье появляются после

нажатия клавиши [End] ).

- Routing Grid Definition (определение основной и дополнительных ко-

ординатных сеток - технология тонкой линии).

- Via Type (тип переходных отверстий: Through – сквозные, Interstitial –

межслойные, Mixed - смешанные ).

- Via Sites (размещение переходных отверстий).

- Via Lattice (решетка переходных отверстий).

- Via Lattice Spacing (min расстояние между переходными отверстиями).

- Via Lattice Region (область размещения переходных отверстий) - Region.

- Route Search Area Size (размеры области поиска).

- Number of Maze Router (число проходов алгоритма "лабиринт").

- Open Route Search Area to full board on Last Pass (открытая область поиска

для трассировки).

- Route Area Clearance from Board Edge distance (отступ от края платы).

Продолжение дополнительного меню

- Through via cost: (веса переходных отверстий) - 30.

- Bevel size: (область сглаживания) - 2.

- Predominant component orientation: (преобладающая ориентация компо-

нентов).

- Stub length: (минимальное количество Т-образных соединений) - 0 .

- Even distribution on all layer pairs: (равномерное распределение по сосед-

ним слоям) - No.

- Route window: (окно трассировки Entire Board" - вся плата или Region).

- Generate stringers: (генерация "стрингеров") -Yes.

- Eliminate acute angles: (удаление острых углов) -Yes.

После выбора в основном меню программы PCROUTE опции

Route на экран выводится меню трассировщика:

147

Page 148: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

>> Start (начало) <<

Extract data (распаковка данных): YES/NO

Route (трассировка): NEW/RESTART/NO

Create routed database (создание базы результатов трассировки: проло-

женные проводники и исходная база) YES/NO

Database name (имя базы данных): ***

Процесс трассировки разбивается на три фазы, которые могут вы-

полняться отдельно. Опция «Extract data» определяет, будет ли прово-

диться распаковка данных исходной базы. Отдельно выполненная распа-

ковка позволяет обнаружить все выдаваемые программой предупрежде-

ния, не выполняя трассировки.

3.7. Лабораторный практикум по разработке печатных плат

Студенту предоставляется возможность самому выбрать вариант сис-

темы P-CAD: для DOS или Windows, однако, желательно владение обоими

вариантами.

Процесс проектирования печатной платы состоит из нескольких эта-

пов. На каждом из них используются отдельные модули системы P-CAD.

Этап 0. Перед началом разработки печатной платы должны быть соз-

даны библиотеки схемных обозначений компонентов (в файлах с расшире-

нием .SYM) с помощью программы PCCAPS, библиотеки их конструкти-

вов (.PRT) и стеков контактных площадок (.PS) с помощью программы

PCCARDS (программа автоматической трассировки PCROUTE файлы .PS

не использует).

Этап 1. Создание чертежа принципиальной электрической схемы

(.SCH) с помощью программы PCCAPS. Составление списков электриче-

ских связей схемы утилитой PCNODES (.NLT).

Этап 2. Создание файла базы данных печатной платы, с расширением

.PKG, утилитой PCPACK на основе информации, содержащейся в файлах:

148

Page 149: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

- списков соединений, составленных по принципиальной электрической

схеме (.NLT),

- перекрестных ссылок (с расширением .FIL) после его обработки про-

граммой PREPACK (в результате - расширение .LIB),

- конструктива печатной платы (.PCB), созданного в редакторе PCCARDS.

Этап 3. Размещение компонентов на печатной плате вручную с помо-

щью графического редактора PCCARDS или автоматически и интерактив-

но с помощью программы PCPLACE.

Этап 4. Трассировка соединений с помощью программы PCROUTE

или вручную с помощью редактора PCCARDS.

3.7.1. Указания по выполнению работы

1. Изучите разделы 3.2- 3.7. Для допуска к работе (получения индивиду-

ального задания на разработку) необходимо уметь работать в среде графи-

ческих редакторов системы P-CAD (для DOS или Windows) и знать после-

довательность действий, соблюдаемую при разработке печатных плат.

2. Создайте библиотечный элемент системы P-CAD для заданного препо-

давателем радиоэлемента с использованием заданных системы единиц и

масштаба.

3. Введите схему, заданную преподавателем.

4. Выполните расстановку элементов на плате заданных размеров и прове-

дите трассировку соединений.

5. Оформите отчет.

Содержание отчета

1. Чертежи всех слоев заданного преподавателем радиоэлемента для ввода

его в библиотеку системы P-CAD.

2.Заданная преподавателем принципмальная схема.

3. Оттрассированная печатная плата (все слои).

Примечание: схемы и чертежи оформлять по ГОСТу.

149

Page 150: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

150

Контрольные вопросы

1. Какие действия выполняют команды графических редакторов системы

P-CAD (все команды) PCCAPS PCCARDS.

2. Структура файла перекрестных ссылок.

3. Как изменить параметры стратегии трассировки.

4. Значения параметров трассировки.

Пример тестирования

- Минимальная последовательность команд для создания компонента:

ENTR/PIN SCMD/PNLC SCMD/SPAT FILE/SAVE - DOS,

Place/Pin Place/Part Place/Attributes Library/Pattern Save As - Windows.

- В PCAD под Windows аналогом программы PCNODES является:

Shape Route Shematic: Utils/Generate Netlist PCB: Utils/Load Netlist

- Выводы питания и "земли" создаются:

непосредственно в схеме Pin/net_list в .FIL файле атрибутом PWGD

- Какой тип трассировки разрешает Т-образные соединения?

Steiner-Minvia Minspan Daisy-chain

- Чертеж печатной платы создается в режиме:

SYMB DETL SCMD PCB

- Укажите лишние команды (при создании схемы)

ENTR/COMP SCMD/PNUM ENTR/WIRE FILE/SAVE NAME/COMP

Page 151: АНАЛИЗ СХЕМ И РАЗРАБОТКА ПЕЧАТНЫХ ПЛАТedu.tltsu.ru/sites/sites_content/site59/html/media3772/SAPR.pdf · риферийные устройства)

Литература

1. Норенков И.П. Введение в автоматизированное проектирование

технических устройств и систем.- М. ВШ, 1986.

-

2. Норенков И.П., Маничев В.Б. Основы теории и проектирования

САПР.- М. ВШ, 1990.

3. Алексеев О.В. Автоматизация проектирования радиоэлектронных

средств.- М. ВШ, 2000.

4. Мироненко И.Г., Суходольский В.Ю., Холуянов К.К., Мироненко

И.Г. Автоматизированное проектирование узлов и блоков РЭС сред-

ствами современных САПР.- М. Промэлектроника, 2002.

5. Системы автоматизированного проектирования. Учебное пособие

для ВУЗов в 9кн. под ред.Норенкова И.П.- М. ВШ, 1986.

6. Влах Н., Сингхал К. Машинные методы анализа и расчета электрон-

ных схем.- М. Радио и связь, 1988.

7. Сучков Д.И. Основы проектирования печатных плат в САПР

PCAD4.5, PCAD8.5-8.7 и ACCEL EDA.- М.Горячая линия-Телеком,

2000.

8. Разевиг В.Д. Применение программ P-CAD u PSpise для схемотех

нического моделирования на ПЭВМ-. М. Радио и связь, 1992.

9. Разевиг В.Д. Система схемотехнического моделирования Micro-CAP

V.- М. СОЛОН, 1997.

10. Разевиг В.Д. Проектирование печатных плат в P-CAD 2001.- М.

СОЛОН, 2003.

151