19
จุดประสงค์การทดลอง 1. ประกอบวงจรนับโดยใช้ไอซีฟลิปฟลอปเบอร์ 7476 ได้ 2. ประกอบวงจรนับโดยใช้ไอซีฟลิปฟลอปเบอร์ 7493 ได้ 3. ประกอบวงจรนับโดยใช้ไอซีฟลิปฟลอปเบอร์ 7490 ได้ 4. วิเคราะห์การทางานของวงจรนับได้ เครื ่องมือและอุปกรณ์ 1. ชุดทดลองดิจิตอล จานวน 1 ชุด 2. ไอซีเบอร์ 7476, 7493, 7490 จานวน 3 ตัว ลาดับขั้นการทดลอง 1. ประกอบวงจรนับขึ้นขนาด 3 บิต ตามรูปด ้านล่าง โดยต่ออินพุตสัญญาณนาฬ กาของวงจรเข้ากับสวิตช์ กดปุ ่ มสร้างพัลส์บวกแบบหนึ่งลูก ส่วนขาอินพุต PS และ CLR ทุกขาต่อกับวงจรสร้างลอจิก 1 โดยต่อกับรีซิสเตอร์ 10 Kส่วนเอาต์พุตทั้ง 3 ต่อกับแอลอีดีแสดงผล J K Q Q CLK J K Q Q J K Q Q CLK CLK 13 10 KW 7476 7476 7476 Vcc Vcc GND 330 W 330 W 330 W + 5V PS CLR 13 GND CLR PS PS CLR 16 1 4 2 5 3 14 15 6 7 9 12 8 16 1 4 2 5 3 14 15 11 10 Q C Q B Q A LED3 LED2 LED1 2. กดสวิตช์ป้ อนสัญญาณพัลส์ที่ละ 1 พัลส์ สังเกตการเปลี่ยนแปลงที่เอาต์พุตทั้ง 3 ของวงจร แล ้วบันทึกผล การทดลองในตารางที่ 1

หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

  • Upload
    others

  • View
    6

  • Download
    0

Embed Size (px)

Citation preview

Page 1: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

จดประสงคการทดลอง 1. ประกอบวงจรนบโดยใชไอซฟลปฟลอปเบอร 7476 ได 2. ประกอบวงจรนบโดยใชไอซฟลปฟลอปเบอร 7493 ได 3. ประกอบวงจรนบโดยใชไอซฟลปฟลอปเบอร 7490 ได 4. วเคราะหการท างานของวงจรนบได

เครองมอและอปกรณ 1. ชดทดลองดจตอล จ านวน 1 ชด 2. ไอซเบอร 7476, 7493, 7490 จ านวน 3 ตว

ล าดบขนการทดลอง 1. ประกอบวงจรนบขนขนาด 3 บต ตามรปดานลาง โดยตออนพตสญญาณนาฬกาของวงจรเขากบสวตชกดป มสรางพลสบวกแบบหนงลก สวนขาอนพต PS และ CLR ทกขาตอกบวงจรสรางลอจก 1 โดยตอกบรซสเตอร 10 KΩ สวนเอาตพตทง 3 ตอกบแอลอดแสดงผล

J

K

Q

Q

CLK

J

K

Q

Q

J

K

Q

Q

CLK CLK

13

10 KW

7476 7476 7476

Vcc Vcc

GND

330 W

330 W

330 W

+ 5V

PS

CLR13

GNDCLR

PSPS

CLR

16

1

4

2 5

3

14

15

6

79

12

8

16

1

42 5

3

14

1511

10

QC QB QA

LED3 LED2 LED1

2. กดสวตชปอนสญญาณพลสทละ 1 พลส สงเกตการเปลยนแปลงทเอาตพตทง 3 ของวงจร แลวบนทกผลการทดลองในตารางท 1

Page 2: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางท 1 ตารางบนทกผลการทดลองของขอ 2

จ านวนพลส เอาตพต

การนบฐานสบ QC QB QA

0 0 0 0 0 1 0 0 1 1 2 0 1 0 2 3 0 1 1 3 4 1 0 0 4 5 1 0 1 5 6 1 1 0 6 7 1 1 1 7 8 0 0 0 0

3. ประกอบวงจรนบลงขนาด 3 บต ตามรปดานลาง

4. กดสวตชปอนสญญาณพลสทละ 1 พลส สงเกตการณเปลยนแปลงทเอาตพตทง 3 ของวงจร แลวบนทกผลการทดลองในตารางท 2

ตารางท 2 ตารางบนทกผลการทดลองของขอ 4

จ านวนพลส เอาตพต

การนบฐานสบ QC QB QA

0 0 0 0 0 1 1 1 1 7 2 1 1 0 6

Page 3: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางท 2 ตารางบนทกผลการทดลองของขอ 4 (ตอ)

จ านวนพลส เอาตพต

การนบฐานสบ QC QB QA

3 1 0 1 5 4 1 0 0 4 5 0 1 1 3 6 0 1 0 2 7 0 0 1 1 8 0 0 0 0

5. ประกอบวงจรนบ 4 บต โดยใชไอซเบอร 7493 ตามรปดานลาง

6. กดสวตชปอนสญญาณพลสทละ 1 พลส ใหบนทกการแสดงผลของ LED 7–segment ทกครงทกดสวตซปอน

สญญาณนาฬกา บนทกผลการทดลองในตารางท 3

Page 4: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางท 3 ตารางบนทกผลการทดลองของขอ 6

สญญาณพลสลกท

เอาตพต การแสดงผลของ LED 7-segment QD QC QB QA

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1

10 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 0 1 14 1 1 1 0 15 1 1 1 1 (ไมตด)

16 0 0 0 0 17 0 0 0 1 18 0 0 1 0

Page 5: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

7. ประกอบวงจรนบ 4 บต โดยควบคมใหนบ 0–9 ใชไอซเบอร 7493 ตามรปดานลาง

8. กดสวตชปอนสญญาณพลสทละ 1 พลส ใหบนทกการแสดงผลของ LED 7–segment ทกครงทกดสวตซปอนสญญาณนาฬกา บนทกผลการทดลองในตารางท 4

ตารางท 4 ตารางบนทกผลการทดลองของขอ 8

สญญาณพลส ลกท

เอาตพต การแสดงผลของ LED 7-segment QD QC QB QA

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0

Page 6: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางท 4 ตารางบนทกผลการทดลองของขอ 8 (ตอ)

สญญาณพลส ลกท

เอาตพต การแสดงผลของ LED 7-segment QD QC QB QA

7 0 1 1 1 8 1 0 0 0 9 1 0 0 1

10 0 0 0 0 11 0 0 0 1 12 0 0 1 0 13 0 0 1 1 14 0 1 0 0 15 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0

9. ผลการทดลองจากตารางท 1 กบตารางท 2 มขอแตกตางกนอยางไร ตอบ แตกตาง กลาวคอ ผลจากตารางท 1 วงจรนบจะนบตงแต 0 ถง 15 (00002 ถง 11112) ผลจากตารางท 2วงจรนบจะนบตงแต 0 ถง 9 (00002 ถง 10012) 10. ถาหากตองการใหไอซเบอร 7493 นบ 7 (0 ถง 6) จะตอวงจรอยางไร ตอบ หากตองการใหไอซเบอร 7493 นบ 7 (0 ถง 6) จะตอง Reset ทเลข 7 คอ 01112 ซงจะใชวงจร Reset ขนาด 3 อนพต แตไอซ 7493 มวงจร Reset จ านวน 2 อนพต จงตองใช AND gate ตอเพม ดงรปดานลาง

Page 7: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

11. ประกอบวงจรนบ 10 โดยใชไอซเบอร 7490 ตามรปดานลาง

Page 8: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

12. กดสวตชปอนสญญาณพลสทละ 1 พลส โดยบนทกการแสดงผลของ LED 7-segment ทกครงทกดสวตซปอนสญญาณนาฬกา บนทกผลการทดลองในตารางท 5

ตารางท 5 ตารางบนทกผลการทดลองของขอ 11

สญญาณพลสลกท

เอาตพต การแสดงผลของ LED 7 segment QD QC QB QA

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1

10 0 0 0 0 11 0 0 0 1 12 0 0 1 0 13 0 0 1 1 14 0 1 0 0 15 0 1 0 1 16 0 1 1 0 17 0 1 1 1 18 1 0 0 0 19 1 0 0 1 20 0 0 0 0 21 0 0 0 1

Page 9: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางท 5 ตารางบนทกผลการทดลองของขอ 11 (ตอ)

สญญาณพลสลกท

เอาตพต การแสดงผลของ LED 7 segment QD QC QB QA

22 0 0 1 0 23 0 0 1 1 24 0 1 0 0 25 0 1 0 1 26 0 1 1 0 27 0 1 1 1 28 1 0 0 0 29 1 0 0 1

13. ประกอบวงจรนบ 00–99 โดยใชไอซเบอร 7490 จ านวน 2 ตว ตามวงจรในรปดานลาง

Page 10: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

14. สงเกตผลทแสดงท LED 7–segment ทงสองหลก ผลดงน ตอบ ผลของการทดลองขอ13 พบวาวงจรนบจมนบตงแต 0 ถง 99 แลวเรมนบ 0 ใหมเชนนเรอย ๆ

15. ตอวงจรนบขนนบลงตามรปดานลาง

16. ปอนสญญาณนาฬกาความถ 1 Hz เขาท SW2 และโยก SW2 ไปทต าแหนงนบขน และโยก SW1 ไปท Clear ผลท LED 7–segment แสดงผลดงน ตอบ LED 7–segment แสดงผลเปนเลข 0

17. SW2 อยทต าแหนงนบขน และโยก SW1 ไปท RUN ผลท LED 7–segment คอ ตอบ LED 7–segment แสดงผลเปนเลข 0 - 9 และสญลกษณอน ตามตารางท 3

18. โยก SW2 อยทต าแหนงนบลง และโยก SW1 ไปท RUN ผลท LED 7–segment คอ ตอบ LED 7–segment แสดงผลเปนเลข 9 - 0 และสญลกษณอน ตามตารางท 3

19. SW2 อยทต าแหนงนบลง และโยก SW1 ไปท Clear ผลท LED 7-segment คอ ตอบ LED 7–segment แสดงผลเปนเลข 0

Page 11: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

20. ใหนกศกษาออกแบบวงจรนบ 0–11(นบ 12) ใชไอซเบอร 7492 แสดงผลท LED 7–segment เขยนวงจรลงใน รปดานลาง

QAQBQD QCInput B

R0(1)R0(2)7492

Input A clock6 7

141

121198

VccGND

510

+5V

a b c d e f g

220x7

D C B A

BCD to 7-segment7447 7448

21. ปอนสญญาณพลส 1 Hz เขาทอนพต สงเกตการแสดงผลของ LED 7–segment แสดงผลดงน

สญญาณพลส ลกท

เอาตพต การแสดงผลของ LED 7-segment QD QC QB QA

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1

10 1 0 1 0 11 1 0 1 1 12 0 0 0 0 0

13 0 0 0 1 1

Page 12: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

สรปผลการทดลอง

Page 13: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

จงอธบาย/บรรยาย หรอออกแบบตามโจทยก าหนด 1. จงอธบายหลกการท างานของวงจรนบแบบ Asynchronous counter ตอบ วงจรนบแบบ Asynchronous counter มหลกการท างานคอปอนสญญาณนาฬกาเขาทอนพตของวงจร ฟลปฟลอปตวท 1 แลวน าสญญาณจากเอาตพตตวท 1 เปนสญญาณนาฬกาของฟลปฟลอปตวท 2 เชนนตอไป ดงรปดานลาง

2. จงหลกการท างานของวงจรนบแบบ Synchronous counter ตอบ วงจรนบแบบ Synchronous counter มหลกการท างานคอปอนสญญาณนาฬกาเขาทอนพตของวงจร ฟลปฟลอปทกตวพรอมกน ดงรปดานลาง

Page 14: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

3. จงออกแบบวงจรนบเลขฐานสอง 4 บต ชนดนบขน แบบ Asynchronous counter โดยใชไอซฟลปฟลอป เบอร 7476 ตอบ ออกแบบวงจรนบเลขฐานสอง 4 บต ชนดนบขน แบบ Asynchronous counter โดยใชไอซฟลปฟลอป

เบอร 7476 ดงวงจรดานลาง

4. จงออกแบบวงจรนบเลขฐานสอง 0 ถง 12 นบขน แบบ Asynchronous counter โดยใชไอซฟลปฟลอป เบอร 7476 ตอบ ออกแบบวงจรนบเลขฐานสอง 0 ถง 12 นบขน แบบ Asynchronous counter โดยใชไอซฟลปฟลอปเบอร 7476 ซงนบเลขฐานสอง 0 ถง 12 หมายถง 00002 ถง 11002 ดงนนจง Reset ท 11012 สามารถเขยนวงจรไดดงวงจรดานลาง

QAQBQC

QD

J

K

Q

Q

CLK

A1

1

J

K

Q

Q

CLK

B1

1

J

K

Q

Q

CLK

C1

1

J

K

Q

Q

CLK

D1

1

MSB LSB

CLRCLRCLRCLR

Page 15: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

5. จงออกแบบวงจรนบเลขฐานสอง 4 บต แบบ Asynchronous counter ก าหนดใหใชไอซฟลปฟลอปเบอร 7476 ทสามารถควบคมการนบขนนบลงได ตอบ ออกแบบวงจรนบเลขฐานสอง 4 บต แบบ Asynchronous counter ก าหนดใหใชไอซฟลปฟลอปเบอร 7476 ทสามารถควบคมการนบขนนบลง ดงวงจรดานลางดานลาง

J

K

Q

Q

CLK

A1

1

J

K

Q

Q

B

J

K

Q

Q

C

1

1 1

1

CLKCLK

QAQBQC

LSB

J

K

Q

Q

C

1

1

CLK

QDMSB

6. จงออกแบบวงจรนบเลข 0 ถง 4 แบบ Synchronous counter โดยใชไอซฟลปฟลอปเบอร 7476 ตอบ ออกแบบวงจรนบเลข 0 ถง 4 แบบ Synchronous counter โดยใชไอซฟลปฟลอปเบอร 7476

ตารางการนบ 5 (0-5)

เลขฐานสบ เลขฐานสอง

QC QB QA 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 0 0 0 0

Page 16: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

ตารางการเปลยนแปลงเอาตพต

ล าดบการนบ

การเปลยนแปลงสภาวะของเอาตพต สภาวะปจจบน สภาวะถดไป

ผลของอนพตจากตารางการกระตน ( จากสภาวะปจจบน)

QC QB QA JC KC JB KB JA KA 0 1 0 0 0 0 0 1 0 X 0 X 1 X 1 2 0 0 0 1 1 0 0 X 1 X X 1 2 3 0 0 1 1 0 1 0 X X 0 1 X 3 4 0 1 1 0 1 0 1 X X 1 X 1 4 0 1 0 0 0 0 0 X 1 0 X 0 X

AJCQ BQ

AQ 01 1011

1

00

0

X

01 1011

1

00

0

1

11 0

XX X 1 XX X X X

AJ = C AK = 1

X

X

AKCQ BQ

AQ

BJ

CQ BQ

AQ 01 1011

1

00

0

1

01 1011

1

00

0

X

X0 0

XX 0 1 XX 0 X X

BJ = CA BK = A

X

X

BKCQ BQ

AQ

CJ

CQ BQ

AQ 01 1011

1

00

0

0

01 1011

1

00

0

X

00 X

X1 X 1 1X X X X

CJ = BA CK = 1

X

X

CKCQ BQ

AQ

เขยนวงจรไดดงน

J

K

Q

Q

CLK

A

1

J

K

Q

Q

B

K

Q

Q

C

CLKCLK

J

QAQBQCMSB LSB

1

Page 17: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

7. จากรปดานลาง จงอธบายการท างานของไอซเบอร 74LS93

ตอบ จากรปทก าหนด เปนโครงสรางของไอซเบอร 74LS93 ซงโครงสรางภายในและตารางการท างานดงแสดงในรปดานลาง

CQ

BQ

AQ

DQ

Q

K

ck

Q

J

Q

K

ck

Q

J

Q

K

ck

Q

J

Q

K

ck

Q

J

Input A

Input B

R 0(1)R 0(2)

14 9 8111213 10

1 4 62 3 5 7

R 0(1) R 0(2)

A

B

CQBQDQAQ

Input A

Input B NC NC NC

NC

R 0(1) R 0(2) VCC

AQ DQ GND BQ CQ

01X00X

1 0 0 0AQR0(2) DQ CQ BQR0(1)

. 7493 .

. 7493

จากโครงสรางของไอซเบอร 74LS93 พบวามฟลปฟลอป จ านวน 4 ตว ตว A ตอกบอนพต A และมเอาตพตเปน QA ตว B ตอกบอนพต B และมเอาตพตเปน QB ดงนนหากตองการนบ 4 บตจะตองตอ input B ไปทเอาตพต QA สวนอนพต C จะตอกบ เอาตพต QB อนพต D จะตอกบ เอาตพต QC อยภายในแลว สวนขา Reset ม 2 ขาคอ R0(1) และ R0(2) ซงจะท างานเมอเปนลอจก 1 ดงนนหากตองการนบถงคาสงสดใหตอขา R0(1) และ R0(2) ลงกราวด แตหากตองการนบถงคาทก าหนดใหตอขา R0(1) และ R0(2) ไปยงเอาตพตทเปน 1 ของคาทตองการ Reset

Page 18: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

8. จงออกแบบวงจรนบ 00– 99 โดยใชไอซเบอร 74LS93 ตอบ สามารถออกแบบวงจรนบ 00–99 โดยใชไอซเบอร 74LS93 ไดดงรปดานลาง

QAQBQD QCInput B

a b c d e f g

D C B A

R0(1)R0(2)

a b c d e f g

220x7

D C B A

220x7

7493

BCD TO 7 SEGMENT

Input A

QAQBQD QCInput B

R0(1)R0(2)7493 Input A

7447 7448 7447 7448

clock

9. จงออกแบบวงจรนบ 00–59 โดยใชไอซเบอร 74LS93 ตอบ สามารถออกแบบวงจรนบ 00–59 โดยใชไอซเบอร 74LS93 ไดดงรปดานลาง

Page 19: หน่วยการเรียนที่ 1...7. ประกอบวงจรน บ 4 บ ต โดยควบค มให น บ 0–9 ใช ไอซ เบอร

10. จงออกแบบวงจรนบ 00–99 โดยใชไอซเบอร 74LS90 ตอบ สามารถออกแบบวงจรนบ 00–99 โดยใชไอซเบอร 74LS90 ไดดงรปดานลาง

11. จงออกแบบวงจรนบ 0–11 (นบ 12) โดยเลอกใชไอซทเหมาะสม ตอบ ออกแบบวงจรนบ 0–11 โดยใชไอซเบอร 7492 เนองจากเปนไอซนบ 12 (0 - 11) ออกแบบวงจรไดดงรปดานลาง

QAQBQD QCInput B

R0(1)R0(2)7492

Input A clock6 7

141

121198

output QAQBQD QC

VccGND

510

+5V

12. จงอธบายการท างานของไอซวงจรนบเบอร 74168

ตอบ ไอซวงจรนบเบอร 74168 เปนไอซวงจรนบสบ นบขนนบลง แบบซงโครนส (Synchronous Decade up-down Counter) มโครงสรางและตารางการท างานดงรปดานลาง